当前位置: X-MOL首页全球导师 国内导师 › 王超

个人简介

1996~2000,华中科技大学,电子科学与技术系固体电子学与微电子学专业,学士学位。 B.E., EE, Huazhong University of Science & Technology (HUST), China 2000~2001,华中科技大学,电子科学与技术系固体电子学与微电子学专业,硕士学历。 M.E., EE, Huazhong University of Science & Technology (HUST), China 2001~2008,新加坡南洋理工大学,电气与电子工程学院集成电路与系统专业,博士学位。 导师:新加坡Infinitus资讯通信研究中心主任颜文允正教授 Ph. D, EE, Nanyang Technological University (NTU), Singapore Supervisor: Prof Gan Woon-Seng, Director of InfoComm Centre of Excellence (Infinitus), NTU, Singapore

研究领域

超低电压CMOS集成电路设计(Ultra-low-voltage CMOS IC Design) 超低功耗VLSI信号处理器设计(Ultra-low-power VLSI Signal Processor Design) 面向生物医学, 健康保健, 和物联网应用的高效节能集成电路与系统设计(Energy-efficient Circuits and Systems for Biomedical, Healthcare and IoT applications) 基于新型技术和应用(包括非易失性存储器技术如阻变存储器,相变存储器等,和仿神经形态计算等)的先进集成电路设计(Advanced Circuit Design for Emerging Technologies and Applications, including RRAM/PRCRAM technologies, Neuromorphic computing) 基于神经网络的仿神经形态人工智能加速器芯片设计(Study of Neural Network based Neuromorphic Computing and High Energy Efficient Chip Design )

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

X. Ji, L. Song, S. Zhong, Y. Jiang, K. G. Lim, C. Wang, and R. Zhao, “Biodegradable and Flexible Resistive Memory for Transient Electronics,”Journal of Physical Chemistry C (JPCC), ACS, in press, 2018. C. Wang, J. Luo, and J. Zhou, "A 1-V to 0.29-V sub-100-pJ/operation Ultra-Low Power Fast-Convergence CORDIC Processor in 0.18-µm CMOS",Microelectronics Journal (MEJ), Elsevier, vol. 76, pp. 52-62, 2018. N. Xue, C. Wang*, C. Liu, and J. Sun, "Highly Integrated MEMS-ASIC Sensing System for Intracorporeal Physiological Condition Monitoring," in Sensors, 18, 107, 2018. J. Zhou, and C. Wang*, "An Ultra-Low Power Turning Angle Based Biomedical Signal Compression Engine with Adaptive Threshold Tuning," in Sensors, 17, 1809, 2017. J. Zhou, C. Huang, C. Wang, T. H. KIM, and Y. Long, "Energy-Efficient Digital and Wireless IC Design for Wireless Smart Sensing," in Journal of Semiconductors, vol. 38, no. 10, pp. 105005-1, 2017. X. Huang, X. Yu, C. Wang, and H. Gao, "Circuits and Systems for Wireless Sensing," in Journal of Sensors, vol. 2017, Article ID 4045780, 2 pages, 2017. X. Liu, J. Zhou, C. Wang, K. H. Chang, J. Luo, J. Lan, L. Liao, Y-H. H. Lam, Y. Yang, B. Wang, X. Zhang, W. L. Goh, T. H. Kim, and M. Je, " An Ultra-Low-Voltage Sensor Node Processor with Diverse Hardware Acceleration and Cognitive Sampling for Intelligent Sensing," in IEEE Trans. on Circuits and Systems-II Express Briefs (TCAS-II), vol. 62, no. 12, pp. 1149-1153, 2015. S. Leng, R. S. Tan., Kevin T. C. Chai, C. Wang, Dhanjoo Ghista, and L. Zhong, “The Electronic Stethoscope,”in Biomedical Engineering Online, 2015. J. Zhou, C. Wang*, X. Liu, X. Zhang, and M. Je, “An Ultra-Low Voltage Level Shifter using Revised Wilson Current Mirror for Fast and Energy-Efficient Wide-Range Voltage Conversion from Sub-Threshold to I/O Voltage,” in IEEE Trans. on Circuits and Systems-I Regular Papers (TCAS-I), vol. 62, no. 3, pp. 697-706, 2015. C. Wang, J. Zhou, L. Liao, J. Lan, J. Luo, X. Liu, and M. Je, “Near-Threshold Energy and Area Efficient Reconfigurable DWPT/DWT Processor for Healthcare Monitoring Applications,” in IEEE Trans. on Circuits and Systems-II Express Briefs (TCAS-II), vol. 62, no. 1, pp. 70-74, 2015. C. Wang, J. Zhou, R. Weerasekera, B. Zhao, X. Liu, P. Royannez, and M. Je, “BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems,” in IEEE Trans. on Circuits and Systems-I Regular Papers (TCAS-I), vol. 62, no. 1, pp. 139-148, 2015. J. Zhou, C. Wang*, X. Liu, and M. Je, “Fast and Energy-Efficient Low-Voltage Level Shifters,” in Microelectronics Journal (MEJ), Elsevier, vol. 46, no. 1, pp. 75-80, 2015. T. Sun, N. Xue, C. Liu, C. Wang, J. He, “Bioactive (Si, O, N)/(Ti, O, N)/Ti Composite Coating on NiTi Shape Memory Alloy for Enhanced Wear and Corrosion Performance,” in Applied Surface Science, Elsevier, vol. 356, pp. 599-609, 2015. X. Liu, J. Zhou, Y. Yang, B. Wang, J. Lan, C. Wang, J. Luo, W. L. Goh, T. H. Kim, and M. Je, "A 457-nW Near-Threshold Cognitive Multi-Functional ECG Processor for Long-Term Cardiac Monitoring," in IEEE Journal of Solid-State Circuits (JSSC), vol. 49, no. 11, pp.2422 -2434, 2014. C. Wang, Kevin T. C. Chai, V. Suplin, D. Nuttman, and M. Je, “Reconfigurable Closed-Loop Digital ∑∆ Capacitive MEMS Accelerometer for Wide Dynamic Range, High Linearity Applications”, in International Journal on Information and Electronics Engineering (IJIEE), vol. 3, no. 1, pp. 44-48, 2013. C. Wang and W. S. Gan, “Efficient VLSI Architecture for Lifting-Based Discrete Wavelet Packet Transform”, in IEEE Trans. on Circuits and Systems-II Express Briefs(TCAS-II), vol. 54, no. 5, pp. 422-426, May 2007. C. Wang and W. S. Gan, “Efficient Algorithm and Architecture of Critical-Band Transform for Low-Power Speech Applications”, in EURASIP Journal on Advances in Signal Processing (EJASP), vol. 2007, Article ID 89264, 10 pages, 2007. X. Ji, C. Wang, L. Song, and R. Zhao, "Super Nonlinear Mixed Ionic Electronic Conducting Thin-film Selector for Crosspoint Array," IEEE Nanotechnology Materials and Devices Conference (NMDC 2018), Oct 2018. N. Xue, C. Liu, J. Sun, and C. Wang, "Flexible Pressure and Force Sensing System for Bio-medical Devices," IEEE International Nanoelectronics Conference (INEC 2018), in press, Jan 2018. C. Wang, J. Zhang, J. Zhou, X. Liu, R.S.Tan, L. Zhong, and Kevin T. C. Chai, " A 65-nm 0.35-V 7.1-µW Memory-less Adaptive PCG Processor for Wearable Long-term Cardiac Monitoring," in Proc. of IEEE Asian Solid State Circuit Conference (A-SSCC 2016), pp. 329-332, Nov.7-9, 2016. Kevin T. C. Chai, C. Wang, J. Tao, J. Xu, L. Zhong, and R. S. Tan, “High Performance Differential Capacitive MEMS Sensor Readout with Relaxation Oscillator Front-End Converter Back-End And Phase Locked Loop Time-To-Digital,” in Proc. of IEEE Region 10 Conference (TENCON 2016), pp. 1528 - 1531, Dec 2016. S. Leng, C. H. Soh, F. Huang, J. Zhang, C. Wang, Kevin T. C. Chai, L. Zhong, R. S. Tan, " Comparison of Systolic and Diastolic Time Intervals from Digital Stethoscope with Tissue Doppler Imaging," in Proc. of Biomedical Engineering Society 2016 (BMES 2016) Annual Meeting, Oct 5-8, 2016. T. Tang, W. L. Goh, X. Liu, and C. Wang, “A 0.18μm, 0.6V, 83.5μW Integer DCT Processor for Neural Signal Applications”, in Proc. of International Symposium on Integrated Circuits (ISIC 2016), Dec. 12-14. 2016. C. Wang, J. Zhou, K. Guruprasad, X. Liu, T. H. Kim, and R. Weerasekera, " TSV-Based PUF Circuit for 3DIC Sensor Nodes in IoT Applications," in Proc. of IEEE Conference on Electron Devices and Solid-State Circuits (EDSSC 2015), pp. 313-316, June.1-4, 2015. Y. Wang, Y. Shi, C. Wang, and Y. Ha, " FPGA-Based SHA-3 Acceleration on a 32-bit Processor via Instruction Set Extension," in Proc. of IEEE Conference on Electron Devices and Solid-State Circuits (EDSSC 2015), pp. 305-308, June.1-4, 2015. C. Wang, J. Lan, J. Luo, D. Nag, B. Grinberg, and Kevin T. C. Chai, " A Ultra-Low-Power Sensor Signal Processor for High-Performance Close-Loop MEMS Accelerometer," in Proc. of IEEE Conference on Electron Devices and Solid-State Circuits (EDSSC 2015), pp. 91-94, June.1-4, 2015.

推荐链接
down
wechat
bug