当前位置: X-MOL首页全球导师 国内导师 › 王达

个人简介

工作经历 1999年9月-2004年7月,中国科学技术大学,电子科学与技术,理学学士 2004年9月-2009年6月,中国科学院计算技术研究所,计算机系统结构,工学博士 2009年7月-2013年10月,中国科学院计算技术研究所,助理研究员 2013年10月至今,中国科学院计算技术研究所,副研究员

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

1. Da Wang, Xiaoxin Fan, Xiang Fu, Hui Liu, Ke Wen, Rui Li, Huawei Li, Yu Hu, and Xiaowei Li, “The Design-for-Testability Features of A General Purpose Microprocessor”, In Proc. of IEEE Intl. Test Conf. (ITC), 2007, Session 9.2. (EI, ISTP) CCF B类 2. Da Wang, Yuanjiang Xie, Yu Hu, Huawei Li, and Xiaowei Li, “Hierarchical Fault Tolerance Memory Architecture With 3-Dimension Interconnect”, In Proc. of IEEE Region 10 Conf. (TENCON), 2007, FrSC-O9.2. (EI, ISTP) 3. Da Wang, Yu Hu, Huawei Li, and Xiaowei Li, “The Design-for-Testability Features and Test Implementation of a Giga Hertz General Purpose Microprocessor”, Journal of Computer Science and Technology (JCST), 2008, 23(6): 1037-1046. CCF B类,SCI 期刊 4. Da Wang, Rui Li, Yu Hu, Huawei Li, and Xiaowei Li, “A Case Study on At-Speed Testing of a Gigahertz Microprocessor”, In Proc. of IEEE Intl. Symp.on Electronic Design, Test & Application (DELTA), 2008, pp. 326-331. (EI, ISTP) 5. Da Wang, Dongrui Fan, Yu Hu, A Case Study: Low Power Design-for-Testability Features of a Multi-core Processor Godson-T. Trans Tech. on Advanced Measurement and Test (AMT), vol. 302, 2011, pp. 1237-1242. (EI) 6. Fei Wang, Da Wang, and Haigang Yang. A SAT-Based Pattern Generation Method for Diagnosis Multiple Faults. in Proc. of International Conference of Advanced Measurement and Test (AMT), vol. 302, 2011, pp. 989-994. (EI) 通讯作者 7. Dongrui Fan, Hao Zhang, Da Wang, Xiaochun Ye, Fenglong Song, Junchao Zhang, and Lingjun Fan. High-Efficient Architecture of Godson-T Many-Core Processor. In the proceeding of the 23rd HotChips Conference, Aug. 17-19, 2011, Stanford Uniersity, CA. (EI, ISTP) CCF B类,通讯作者 8. Da Wang, Fei Wang, et. al. “Diagnosis Pattern Generation Method for Timing Faults in Scan Chains”, IEEE International Symposium on Circuits and Systems (ISCAS), 2012, pp. 2308-2312. (EI, ISTP) CCF C类 9. Dongrui Fan, Hao Zhang, Da Wang, Xiaochun Ye, Fenglong Song, Guojie Li, Ninghui Sun, “High-Efficient Architecture of Godson-T Many-Core Processor,” IEEE Micro, March/ April 2012, pp. 38-47.(通讯作者) SCI期刊,通讯作者 10. Fei Wang, Da Wang, Haigang Yang, Xianghui Xie, Dongrui Fan, “On-Chip Generating FPGA Test Configuration Bitstreams to Reduce Manufacturing Test Time”, Chinese Journal of Electronics, 2016, 25(1):64-70. SCI期刊,通讯作者 11. Zichu Qi, Hui Liu, Xiangku Li, Da Wang, Yinhe Han, Huawei Li, Weiwu Hu, “A Scalable Scan Architecture for Godson-3 Multicore Microprocessor”, in Proc. of Asian Test Symp. (ATS), 2009, pp. 219-224. (EI, ISTP) CCF C类 12. Shuai Jiao, Paolo Ienne, Xiaochun Ye, Da Wang, Dongrui Fan, Ninghui Sun, "CRAW/P: A Workload Partition Method for the Efficient Parallel Simulation of Manycores," International European Conference on Parallel and Distributed Computing (Euro-Par), 2012, pp. 102-114. CCF C类 13. Shuai Jiao, Da Wang, Xiaochun Ye, Weizhi Xu, Hao Zhang, Ninghui Sun, "PartitionSim: A Parallel Simulator for Many-cores," IEEE International Conference on High Performance Computing and Communications (HPCC), 2012, Liverpool, UK, pp. 119-126. (EI, ISTP) CCF C类 14. Shuai Jiao, Da Wang, Xiaochun Ye, Weizhi Xu, Hao Zhang, Ninghui Sun, "ALWP: A Workload Partition Method for the Efficient Parallel Simulation of Manycores," IEEE International Conference on High Performance Computing and Communications, 2012, Liverpool (HPCC), UK, pp. 135-142. (EI, ISTP) CCF C类 15. Shuai Jiao, Paolo Lenne, Xiaochun Ye, Da Wang, Dongrui Fan, Ninghui Sun. CRAWP: A Workload Partition Method for the Efficient Parallel Simulation of Many Cores, International European Conference on Parallel and Distributed Computing (Euro-Par), 2012, Rhodes Island, Greece. (EI, ISTP) CCF C类 16. Weizhi Xu, Hao Zhang, Shuai Jiao, Da Wang, Fenglong Song, Zhiyong Liu, "Optimizing Sparse Matrix Vector Multiplication Using Cache Blocking Method on Fermi GPU," SNPD 2012, pp. 231-235 (EI, ISTP) 17. Weizhi Xu, Zhiyong Liu, Jun Wu, Xiaochun Ye, Shuai Jiao, Da Wang, Fenglong Song, Dongrui Fan. Auto-Tuning GEMV on Many-Core GPU. The 18th IEEE International Conference on Parallel and Distributed Systems (ICPADS 2012) , Singapore, Dec. 2012, pp.30-36, 17-19. (EI, ISTP) CCF C类 18. Mingzhe Zhang, Da Wang, Xiaochun Ye, Liqiang He, Dongrui Fan and Zhiyong Liu. A Path-Adaptive Opto-Electronic Hybrid NoC for Chip Multi-Processor. The 11th IEEE International Symposium on Parallel and Distributed Processing with Aplications (ISPA-13). Melbourne, Australia. 16-18 ,July ,2013.pp1198 - 1205. (EI, ISTP) 19. Lunkai Zhang, Mingzhe Zhang, Lingjun Fan, Da Wang and Paolo Ienne. Spontaneous Reload Cache Mimicking a Larger Cache with Minimal Hardware Requirement. The 8th IEEE International Conference on Networking, Architecture, and Storage (NAS 2013). 17-19 July 2013.pp31 – 40. (EI, ISTP) 20. Xiaochun Ye, Dongrui Fan, Da Wang, Fenglong Song, Hao Zhang, Zhimin Tang. An Efficient Parallel Mechanism for Highly-Debuggable Multicore Simulator. International Conference on Advanced Parallel Processing Technology (APPT) 2013. Stockholm, Sweden,August 27-28, 2013.pp241-253. (EI, ISTP) CCF C类 21. Weizhi Xu, Hui Yu, Dianjie Lu, Fenglong Song, Da Wang, Xiaochun Ye, Songwei Pei, Dongrui Fan, Hongtao Xie, Fast and scalable lock methods for video coding on many-core architecture, Journal of Visual Communication and Image Representation, Volume 25, Issue 7, October 2014, Pages 1758-1762, ISSN 1047-3203. SCI期刊 22. Wenming Li, Xiaochun Ye, Yang Zhang, Fenglong Song, Da Wang, Shibin Tang, Dongrui Fan. "BDSim : A component-based high configurable parallel simulation framework for big-data application evaluation ",CCF Bigdata2014, Dec. 2014. 23. Wenming Li, Lingjun Fan, Zihou Wang, Xiaochun Ye, Da Wang, Hao Zhang, Liang Zhang, Dongrui Fan, Xianghui Xie. “Thread ID Based Power Reduction Mechanism for Multi-thread Shared Set-associative Caches”, IEEE International Green and Sustainable Computing Conference, Dec.2015, Las Vegas, US. (EI) 24. Wenming Li, Liang Zhang, Xiaochun Ye, Da Wang, Hao Zhang, Zihou Wang, Dongrui Fan. “A High-Density Data Path Implementation fitting for HTC Applications”, Workshop on Energy-Efficient High Throughput Computing for Big Data, Dec.2015, Las Vegas, US. (EI) 25. Yuqiong Qi, Lina Ma, Wenming Li, Xiaochun Ye, Da Wang, Dongrui Fan, Ninghui Sun. ACCC: An Acceleration Mechanism for Character Operation based on Cache Computing in Big Data Applications. HPCC 2016. CCF C类 26. Xiaowei Shen, Xiaochun Ye, Xu Tan, Da Wang, Zhimin Zhang, Zhimin Tang, Dongrui Fan, Memory Partition for SIMD in Streaming Dataflow Architectures. The 7th International Green and Sustainable Computing (IGSC), 2016. 27. Yatao Zhu, Xiaochun Ye, Da Wang, Wenming Li, Yang Zhang, Dongrui Fan, Zhimin Zhang, Zhimin Tang. A Framework for Energy-efficient Optimization on Multi-Cores. The 7th International Green and Sustainable Computing (IGSC), 2016. 28. Yatao Zhu, Shuai Zhang, Xiaochun Ye, Da Wang, Xu Tan, Dongrui Fan, Zhimin Zhang, Hongliang Li. An Energy-efficient Bandwidth Allocation Method for Single-chip Heterogeneous Processor. The 7th International Green and Sustainable Computing (IGSC), 2016. 29. Xiaowei Shen, Xiaochun Ye, Xu Tan, Da Wang, Zhimin Zhang, Dongrui Fan, Zhimin Tang. An Optimization of Dataflow Architectures for Scientific Applications. In the Proceedings of the 2016 International Conference on Parallel Architectures and Compilation (PACT), 2016, POSTER. 30. Xiaowei Shen, Xiaochun Ye, Xu Tan, Da Wang, Lunkai Zhang, Wenming Li, Zhimin Zhang, Dongrui Fan, Ninghui Sun. An Efficient Network-on-Chip Router for Dataflow Architecture. Journal of Computer Science and Technology (JCST), 32(1):1-15 Jan. 2017. CCF B类,SCI期刊 31. Dongrui Fan, Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Zhimin Tang, Ninghui Sun. SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters. In the 24th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2018. CCF A类 32. Xu Tan, Xiaochun Ye, Xiaowei Shen, Yuanchao Xu, Da Wang, Lunkai Zhang, Wenming Li, Dongrui Fan, Zhimin Tang. A Pipelining Loop Optimization Method for Dataflow Architecture. Journal of Computer Science and Technology (JCST), 33(1):116-130, Jan. 2018. CCF B类,SCI期刊 33. Xu Tan, Xiaowei Shen, Xiaochun Ye, Da Wang, Dongrui Fan, Lunkai Zhang, Wenming Li, Zhimin Zhang, Zhimin Tang. A Non-Stop Double Buffering Mechanism for Dataflow Architecture. Journal of Computer Science and Technology (JCST), 33(1):145-157, Jan. 2018. CCF B类,SCI期刊 34. Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Dongdong Wu, Zhimin Zhang, Dongrui Fan. WEAVER: An Energy Efficient, General-Purpose Acceleration Architecture for String Operations in Big Data Applications. IEEE International Symposium on Parallel and Distributed Processing with Applications(ISPA), 2018-12, CCF C类 35. Yujing Feng, Taoran Xiang, Xiaochun Ye, Dongrui Fan, Da Wang, Dongdong Wu, Zhimin Tang. Optimizing the Efficiency of Data Transfer in Dataflow Architectures. The 20th IEEE International Conference on High Performance Computing and Communications (HPCC), 2018. CCF C类 36. Yi Li, Xu Tan, Rui Xue, Xiaochun Ye, Wenming Li, Mingzhe Zhang, Da Wang, Hao Zhang, Dongrui Fan. A Sharing Path Awareness Scheduling Algorithm for Dataflow Architecture. HPCC 2019. CCF C类, 37. Kuozhong Zhang, Junying Huang, Jing Ye, Xiaochun Ye, Da Wang, Dongrui Fan, Huawei Li, Xiaowei Li, Zhimin Zhang. iATPG: Instruction-level Automatic Test Program Generation for Vulnerability under DVFS Attack, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rhodes Island, Greece, 2019. 38. Junying Huang, Jing Ye, Xiaochun Ye, Da Wang, Dongrui Fan, Huawei Li, Xiaowei Li, Zhimin Zhang. Instruction Vulnerability Test and Code Optimization against DVFS attack. ITC-Asia 2019. 39. Shuqian An, Mingzhe Zhang, Xiaochun Ye, Da Wang, Hao Zhang, Dongrui Fan, Zhimin Tang. C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion. HPCC 2019. CCF C类, 40. 王达、李华伟、胡瑜、李晓维,“嵌入式存储器内建自修复技术研究”,信息技术快报,2006 4(1): 14-29. 41. 付祥、王达、李华伟、胡瑜、李晓维,“一种嵌入式存储器的内建自修复机制”,中国测试大会,北戴河,2006, pp. 15-19. 42. 谢远江、王达、胡瑜、李晓维,“利用内容可寻址技术的存储器BISR方法”计算机辅助设计与图形学学报,2009 21(4): 467-472 (EI) 43. 王达、胡瑜、李晓维,“Flash闪存测试与修复技术概述”,中国测试大会,苏州,2008,pp. 151-155. 44. 王达,胡瑜,李晓维,“嵌入式存储器诊断电路的失效位图压缩方法”,第六届中国容错学术会议2009,海拉尔,pp. 37-41. 45. 范灵俊,安述倩,李杨,张浩,王达,范东睿. 众核处理器高效片上访存机制研究.中国计算机学会计算机工程与工艺专业委员会第十五届学术年会暨“微处理器技术”专题研讨会,2011. 46. 唐士斌,宋风龙,王达,李文明,刘志勇。基于硬件辅助的用户态并行程序记录方法。《小型微型计算机系统》,vol.33, no.10, pp.2243-2249, 2012. 47. 张轮凯,宋风龙,王达,一种针对片上众核结构共享末级缓存的改进的LFU替换算法, 《计算机应用与软件》, Vol.30.No.1.JAN.2013 48. 郑亚松,王达,叶笑春,崔慧敏,徐远超,范东睿,“MALK:一种高效处理大规模键值的MapReduce框架”,《计算机研究与发展》,Vol.51, No.12,2014. (EI) 49. 张轮凯, 宋风龙, 王达, 范东睿, 孙凝晖.” 提升稀疏目录缓存一致性系统性能的方法”, 《计算机研究与发展》,2014年,Vol51(9),p1955-1970. (EI) 50. 范灵俊,徐远超,唐士斌,杜坤,王达. 多线程共享缓存中冗余路访问消除机制研究. 《高性能计算技术》,第226期,2014. 51. 朱亚涛,张帅,王达,叶笑春,张洋,胡九川,张志敏,范东睿,李宏亮,EOFDM:一种面向众核架构的最低能耗搜索方法.《计算机研究与发展》,2015年,Vol52(6),p1303-1315. (EI) 52. 李文明,叶笑春,王达,郑方,李宏亮,林晗,范东睿,孙凝晖,MACT:高通量众核处理器离散访存请求批处理机制,《计算机研究与发展》,2015年,Vol52(6),p1254-1265. (EI) 53. 李文明, 叶笑春, 张洋, 宋风龙, 王达, 唐士斌, 范东睿, 谢向辉. “BDSim:面向大数据应用的组件化高可配并行模拟框架”, 《计算机学报》, 38(10): 1959-1975, 2015. (EI) 54. 刘炳涛, 王达, 叶笑春, 张浩, 范东睿, 张志敏. “一种缓存数据流信息的处理器前端设计”, 《计算机研究与发展》, 2015. (EI) 55. 李文明, 叶笑春, 王达, 郑方, 李宏亮, 范东睿, 孙凝晖. “HD-NoC:面向高通量应用的高密度片上网络实现机制”, HPC-China, 2015. 56. 张洋,王达,叶笑春,朱亚涛,范东睿,李宏亮,谢向辉. “众核处理器片上网络的层次化全局自适应路由机制”. 计算机研究与发展. 2016,53(6):1211-1220. 57. 刘炳涛, 王达, 叶笑春, 张浩, 范东睿, 张志敏. “一种缓存数据流信息的处理器前端设计”, 计算机研究与发展, 2016, 53(6): 1221-1237 58. 张洋,李文明,叶笑春,王达,范东睿,李宏亮,唐志敏,孙凝晖. LFF:一种面向大数据应用的众核处理器访存公平性调度机制. 高技术通讯. 2017,27(2):103-111 59. 申小伟,叶笑春,王达,张浩,王飞,谭旭,张志敏,范东睿,唐志敏,孙凝晖. “一种面向科学计算的数据流优化方法”. 计算机学报,2017, 40(9):2181-2196 60. 刘炳涛,王达,叶笑春,范东睿,张志敏,唐志敏. “基于数据流块的空间指令调度方法”. 计算机研究与发展. 2017, 54(4):750-763 61. 欧焱,冯煜晶,李文明,叶笑春,王达,范东睿. 面向数据流结构的指令内存访存冲突优化研究. 计算机研究与发展,2019. 已录用。

推荐链接
down
wechat
bug