当前位置: X-MOL首页全球导师 国内导师 › 范东睿

个人简介

招生专业 081201-计算机系统结构 招生方向 大数据处理,智能芯片,计算机体系结构 高通量视频处理,视觉计算 教育背景 2000-09--2005-07 中国科学院计算技术研究所 计算机体系结构工学博士 1996-09--2000-07 北京交通大学 理学院应用数学系理学学士 工作经历 ​范东睿,中科院特聘研究员(骨干人才),博士生导师,中科院计算所高通量计算机研究中心主任。在国内外期刊、会议上发表论文120余篇,包括MICRO、HPCA、HotChips、PPoPP、CGO、PACT等领域顶级会议以及IEEE Micro、TPDS、TACO等领域顶级期刊。近五年在国内外应邀作学术报告30余次,已获授权/受理发明专利60余项,其中国际专利9项。担任过HPCA、Micro等顶级会议的程序委员会委员,以及ICPP、IGCC等国际会议主席。 作为国内权威的高通量计算与处理器体系结构领域专家,范东睿博士在计算机体系结构研究与高通量计算领域拥有丰富的技术积累,曾主持完成多项欧盟、科技部、工信部、国家自然科学基金委、中科院等国内外重大科研项目。2010年其主持的Godson-T众核处理器成功流片,被计算机领域国际知名杂志《MICROPRPCESSOR REPORT》评选为“2011年服务器领域十大事件”之一,为中国在全球众核处理器结构研究领域赢得一席之地。 自2005年起,范东睿研究员一直在中科院计算所从事微处理器体系结构方面的研究,先后获评“首都科技领军人才(2018)”、“北京市科学技术进步二等奖(2017)”、“北京市海英人才(2016)”、“中国科学院卓越青年科学家(2014)”等荣誉。2014年他以创始人身份创办北京中科睿芯科技有限公司,先后推出全球首款高通量众核视频处理芯片和全球首款ARM高通量人工智能一体机,公司连续3年实现了每年超过3倍的营收增长。 工作简历 2017-01~现在, 中国科学院计算技术研究所, 中科院特聘研究员(骨干人才)、博士生导师、高通量计算机研究中心主任 2013-09~2016-12,中国科学院计算技术研究所, 研究员、博士生导师、高性能计算机研究中心副主任 2011-12~2012-06,美国IBM研究院, 青年高级访问学者 2010-11~2013-09,中国科学院计算技术研究所, 副研究员、博士生导师、国家体系结构重点实验室处理器结构实验室主任 2007-06~2007-12,美国特拉华大学, 访问学者 2006-07~2010-09,中国科学院计算技术研究所前瞻实验室, 副研究员、硕士生导师 2005-07~2006-06,中国科学院计算技术研究所, 助理研究员 专利与奖励 先后获得奖励及荣誉近二十项: 2018年科技部创新人才推进计划领军人才 2018年CCF-IEEE青年科学家 2018年海淀区十大杰出青年 2018年全国向上向善创新创业好青年 2017年度北京市科学技术奖二等奖 2017年被评为“首都领军人才” 2016年被评为“北京市海英人才” 2014年获“中科院卓越青年科学家奖” 2014年获北京市科学技术奖二等奖(排名第一) 2013年获“中科院计算所优秀研究人员奖” 2011年入选中科院青年创新促进会(首批入选) 2010年被评为“北京市科技新星” 2010年被评为“中科院计算所卓越之星” 2010年获中科院计算所优秀论文一等奖 2008年获“中科院卢嘉锡青年人才奖”(当年全中科院所有学科共50名) 2008年获“中科院计算所优秀科研奖” 2007年入选“中科院计算所百星计划” 专利成果 ( 1 ) 一种众核结构下片上存储的多模式配置方法及装置, 发明, 2010, 第 4 作者, 专利号: 201010256400 ( 2 ) 对预处理微指令发生异常多层嵌套进行处理的设备及方法, 发明, 2009, 第 2 作者, 专利号: ZL200610011927.0 ( 3 ) 对复杂指令译码生成微码的译码装置和方法, 发明, 2009, 第 2 作者, 专利号: ZL200610088938.9 ( 4 ) 满足SystemC语法要求的多核处理器及获得其执行代码的方法, 发明, 2010, 第 2 作者, 专利号: ZL200710308574.5 ( 5 ) 一种多核/众核处理器功能验证设备及方法, 发明, 2010, 第 2 作者, 专利号: ZL200810116243.6 ( 6 ) 一种从虚拟地址向物理地址变换的方法及其装置, 发明, 2008, 第 1 作者, 专利号: ZL200410091378.3 ( 7 ) 改进的虚拟地址变换方法及其装置, 发明, 2008, 第 1 作者, 专利号: ZL200410091377.9 ( 8 ) 一种单指令集异构多核系统静态任务调度方法, 发明, 2012, 第 3 作者, 专利号: CN201210391276.8 ( 9 ) 复杂指令系统中TLBR内部例外的处理方法和处理器, 发明, 2009, 第 3 作者, 专利号: ZL200610088937.4 ( 10 ) 复杂指令集体系结构中的深度优先异常处理方法, 发明, 2009, 第 2 作者, 专利号: ZL200610088939.3 ( 11 ) 对状态寄存器进行重命名的方法和使用该方法的处理器, 发明, 2009, 第 3 作者, 专利号: ZL200610150338.0 ( 12 ) 一种对访存操作进行权限检查的系统、装置及方法, 发明, 2010, 第 3 作者, 专利号: ZL200610011980.0 ( 13 ) 一种快速虚实地址转换装置及其方法, 发明, 2009, 第 2 作者, 专利号: ZL200610171518.7 ( 14 ) 基于局部相联查找的解决访存相关的方法和处理器, 发明, 2009, 第 2 作者, 专利号: ZL200610171521.9 ( 15 ) 多核处理器JTAG实时片上调试方法及其系统, 发明, 2013, 第 5 作者, 专利号: ZL201010135260.1 ( 16 ) 采用可配置的片上存储装置实现访存操作的系统及方法, 发明, 2013, 第 4 作者, 专利号: ZL201010256400.0 ( 17 ) RISC处理器中执 行寄存器类型指令的方法和其系统, 发明, 2013, 第 4 作者, 专利号: ZL201010251490.4 ( 18 ) 处理器内指令级流水线控制方法及其系统, 发明, 2013, 第 4 作者, 专利号: ZL201010233616.5 ( 19 ) 众核处理器片上同步方法和其系统, 发明, 2012, 第 4 作者, 专利号: ZL201010221087.7 ( 20 ) 视频信号编码装置和方法, 发明, 2012, 第 2 作者, 专利号: ZL201010288777.4 ( 21 ) 一种片上共享高速缓存的替换装置和方法以及包含其的处理器, 发明, 2011, 第 5 作者, 专利号: 201110034399.1 ( 22 ) 一种片上多核数据传输方法和装置, 发明, 2011, 第 4 作者, 专利号: 201110451374.1 ( 23 ) 程序的线程关系确定方法、设备及系统, 发明, 2012, 第 4 作者, 专利号: 201210382173.5 ( 24 ) 一种基于缓存感知的确定待迁移任务的方法和装置, 发明, 2012, 第 2 作者, 专利号: 201210392519.X ( 25 ) 用于处理器的动态组相联高速缓存装置及其访问方法, 发明, 2012, 第 5 作者, 专利号: 201210134204.5 ( 26 ) 一种实时多任务调度方法和装置, 发明, 2013, 第 1 作者, 专利号: 201210551909.7 ( 27 ) 一种操作系统进程识别跟踪及信息获取的方法和装置, 发明, 2013, 第 4 作者, 专利号: 201310062081.3 ( 28 ) 一种众核系统的任务管理方法和装置, 发明, 2013, 第 2 作者, 专利号: 201310248251.7 ( 29 ) 内存访问处理方法、装置及系统, 发明, 2013, 第 1 作者, 专利号: 201310339295.0 ( 30 ) 基于多内核处理器的一致性处理方法和装置, 发明, 2013, 第 2 作者, 专利号: 201310260830.3 ( 31 ) 一种访问数据缓存的方法和处理器, 发明, 2013, 第 2 作者, 专利号: 201310269618.3 ( 32 ) 用于虚实地址变换及读写高速缓冲存储器的方法及装置, 发明, 2008, 第 3 作者, 专利号: ZL200510083863.0 ( 33 ) 一种单步执行在片调试功能的方法及其装置, 发明, 2008, 第 2 作者, 专利号: ZL200510088740.6 ( 34 ) 一种访问数据缓存的方法和处理器, 发明, 2014, 第 2 作者, 专利号: PCT/CN2014/080063 ( 35 ) 基于多内核处理器的一致性处理方法和装置, 发明, 2014, 第 2 作者, 专利号: PCT/CN2014/080169 ( 36 ) 一种操作系统进程识别跟踪及信息获取的方法和装置, 发明, 2014, 第 4 作者, 专利号: PCT/CN2014/070497 ( 37 ) 一种众核系统的任务管理方法和装置, 发明, 2014, 第 2 作者, 专利号: PCT/CN2014/080275 ( 38 ) 用于处理器的动态组相联高速缓存装置及其访问方法, 发明, 2015, 第 5 作者, 专利号: CN201210134204.5 ( 39 ) 内存访问处理方法、装置及系统, 发明, 2015, 第 1 作者, 专利号: CN201310339295.0 ( 40 ) 众核处理器片上访存距离优化的方法及其装置, 发明, 2016, 第 5 作者, 专利号: CN201610711933.0 ( 41 ) 一种K近邻算法的加速装置及方法, 发明, 2016, 第 3 作者, 专利号: 201610716367.2 ( 42 ) 一种串匹配算法的加速方法及装置, 发明, 2016, 第 3 作者, 专利号: 201610648826.8 ( 43 ) 一种串匹配算法的加速方法及装置, 发明, 2016, 第 3 作者, 专利号: 201610648826.8 ( 44 ) 一种字符操作加速方法及装置, 发明, 2016, 第 5 作者, 专利号: 201610676302.X ( 45 ) 一种异常事件自动推送及基于历史操作的监控方法及系统, 发明, 2016, 第 5 作者, 专利号: 201611148500.5 ( 46 ) 一种多FPGA的上电自动配置, 发明, 2017, 第 5 作者, 专利号: 201710015609.X ( 47 ) 一种流式数据流结构关键路径加速方法、装置、芯片, 发明, 2017, 第 5 作者, 专利号: 201710028096.6 ( 48 ) 一种面向多地址共享数据路由包的新型片上路由器、路由方法、芯片, 发明, 2017, 第 5 作者, 专利号: 201710029035.1 ( 49 ) 一种应用于三角矩阵与矩阵乘法的加速装置的设计, 发明, 2017, 第 5 作者, 专利号: 201710046584.X ( 50 ) 基于检测帧的视频监控系统故障识别、定位及告警系统及方法, 发明, 2017, 第 5 作者, 专利号: 201710047382.7 ( 51 ) 一种多维数据扩充传输方法装置, 发明, 2017, 第 5 作者, 专利号: 201710090184.9 ( 52 ) 一种基于数据流的指令调度方法及装置, 发明, 2017, 第 5 作者, 专利号: 201710099467.X ( 53 ) 一种面向流式音视频数据的分布式处理方法及其装置, 发明, 2017, 第 4 作者, 专利号: 201710318113.X ( 54 ) 一种PCIe设备与主机之间的多路有序数据传输方法, 发明, 2017, 第 4 作者, 专利号: 201710332590.1 ( 55 ) 一种应用复合指令加快数据流结构中指令执行速度的方法, 发明, 2017, 第 4 作者, 专利号: 201710356099.2 ( 56 ) 一种众核片上网络失败任务甄别及资源重分配方法和系统, 发明, 2017, 第 2 作者, 专利号: 201710437407.4 ( 57 ) 一种面向众核处理器的全局感知数据主动预取方法及系统, 发明, 2017, 第 2 作者, 专利号: 201710433007.6 ( 58 ) 一种带时间印记的数据流图拥塞检测方法, 发明, 2017, 第 4 作者, 专利号: 201710591380.4 ( 59 ) 一种基于执行效率梯度预测的数据流图拥塞检测方法, 发明, 2017, 第 3 作者, 专利号: 201710602370.6 ( 60 ) 一种动态调整数据流架构的资源带宽的计算系统及其方法, 发明, 2017, 第 4 作者, 专利号: 201710667610.0 ( 61 ) 一种应用于对称矩阵与向量乘法的计算方法, 发明, 2017, 第 3 作者, 专利号: 201710671890.2 ( 62 ) 一种芯片面积与功耗优化方法及系统, 发明, 2017, 第 5 作者, 专利号: 201711078974.1 发表著作 (1) 计算机系统设计:片上系统, Computer System Design: System-on-Chip, 机械工业出版社, 2015-06, 第 2 作者 (2) 并行计算机组成与设计, Parallel Computer Organization and Design, 机械工业出版社, 2017-05, 第 1 作者 科研项目 ( 1 ) 高通量计算系统的构建原理、支撑技术及云服务应用, 主持, 国家级, 2011-01--2015-12 ( 2 ) 超高性能CPU新型架构研究, 主持, 国家级, 2011-01--2011-12 ( 3 ) 众核体系结构中的渗透式延迟容忍方法研究, 主持, 国家级, 2012-01--2015-12 ( 4 ) 延长摩尔定律的微处理芯片新原理、新结构与新方法研究, 主持, 国家级, 2005-01--2010-07 ( 5 ) 结合众核特征运行时系统关键技术研究, 主持, 国家级, 2009-01--2010-12 ( 6 ) 多目标设计空间探索在嵌入式多媒体多处理器片上系统的应用”, 主持, 研究所(学校), 2009-01--2010-12 ( 7 ) 适用于生物信息处理的众核结构设计方法研究, 主持, 省级, 2009-12--2011-12 ( 8 ) 数据并行与线程并行合一的可伸缩处理器体系结构, 参与, 国家级, 2014-01--2018-12 ( 9 ) E级超级计算机新型体系结构及关键技术路线研究, 参与, 国家级, 2015-01--2016-12 ( 10 ) 超并行高效能计算机体系, 参与, 国家级, 2010-01--2018-12 ( 11 ) 卓越青年科学家, 主持, 部委级, 2014-10--2017-12 ( 12 ) 院人才-青促会, 主持, 部委级, 2014-06--2018-12 ( 13 ) 千线程并行众核CPU体系结构和支撑技术研究, 主持, 国家级, 2014-01--2016-12 ( 14 ) 高通量众核处理器研究, 主持, 市地级, 2015-01--2016-12 ( 15 ) 多源数据自适应感知与关联浓缩技术, 主持, 国家级, 2017-07--2020-12 ( 16 ) 后E级时代的新型高能效处理器体系结构, 主持, 国家级, 2018-01--2022-12 ( 17 ) 超导计算机研发专项-超导计算机系统集成技术, 主持, 部委级, 2018-01--2022-12 ( 18 ) 高通量众核架构关键技术, 主持, 部委级, 2020-01--2021-12

研究领域

众核处理器设计,高通量处理器设计,数据流处理器设计

近期论文

查看导师新发文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

(1) An Efficient Dataflow Accelerator for Scientific Applications, Future Generation Computer Systems (FGCS), 2020, 通讯作者 (2) Characterizing and Understanding GCNs on GPU, IEEE Computer Architecture Letters., 2020, 第 6 作者 (3) HyGCN: A GCN Accelerator with Hybrid Architecture, In the 26th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2020, 第 8 作者 (4) Bit-Slice Butterfly Processing Units for 64-Point RSFQ FFT Processors, IEEE Trans. on Applied Superconductivity, 2020, 第 6 作者 (5) Applying CNN on a Scientific Application Accelerator Based on Dataflow Architecture, CCF Transaction on High Performance Computing (CCF THPC), 2019, 通讯作者 (6) Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach, In The 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2019, 其他(合作组作者) (7) C-MIDN: Coupled Multiple Instance Detection Network with Segmentation Guidance for Weakly Supervised Object Detection, IEEE International Conference on Computer Vision (ICCV), 2019, 通讯作者 (8) Highly Efficient Breadth-First Search on CPU-Based Single-Node System, IEEE 21st International Conference on High Performance Computing and Communications, 2019, 第 6 作者 (9) 面向数据流结构的指令内存访存冲突优化研究, 计算机研究与发展, 2019, 第 6 作者 (10) Utilizing the Instability in Weakly Supervised Object Detection, CVPR Workshops, 2019, 通讯作者 (11) iATPG: Instruction-level Automatic Test Program Generation for Vulnerability under DVFS Attack, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), 2019, 通讯作者 (12) A Sharing Path Awareness Scheduling Algorithm for Dataflow Architecture, HPCC, 2019, 第 8 作者 (13) Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach, MICRO, 2019, 其他(合作组作者) (14) Instruction Vulnerability Test and Code Optimization Against DVFS Attack, ITC-Asia, 2019, 通讯作者 (15) C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion, HPCC, 2019, 第 6 作者 (16) Magma: A Monolithic 3D Vertical Heterogeneous ReRAM-based Main Memory Architecture, DAC, 2019, 第 4 作者 (17) PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing, Sustainable Computing: Informatics and Systems, 2019, 第 6 作者 (18) C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion, HPCC, 2019, 第 6 作者 (19) Balancing Memory Accesses for Energy-Efficient Graph Analytics Accelerators, ISLPED, 2019, 第 10 作者 (20) 基于细粒度数据流架构的稀疏神经网络全连接层加速, 计算机研究与发展, 2019, 第 7 作者 (21) A Pipelining Loop Optimization Method for Dataflow Architecture, Journal of Computer Science & Technology, 2018, 第 8 作者 (22) A Non-Stop Double Buffering Mechanism for Dataflow Architecture, Journal of Computer Science & Technology, 2018, 第 5 作者 (23) WEAVER: An Energy Efficient, General-Purpose Acceleration Architecture for String Operations in Big Data Applications, IEEE International Symposium on Parallel and Distributed Processing with Applications, 2018, 第 7 作者 (24) Optimizing the efficiency of data transfer in dataflow architectures, IEEE International Conference On High Performance Computing and Communications, 2018, 第 4 作者 (25) Optimizing network efficiency of dataflow architectures through dynamic packet merging, International Green and Sustainable Computing Conference, 2018, 第 5 作者 (26) Accelerating CNN algorithm with fine-grained dataflow architectures, IEEE International Conference on High Performance Computing and Communications, 2018, 第 9 作者 (27) SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters, HPCA, 2018, 第 1 作者 (28) CRAT: Enabling Coordinated Register Allocation and Thread-level Parallelism Optimization for GPUs, MICRO, 2017, 第 7 作者 (29) An Adaptive Tuning Sparse Fast Fourier Transform, Pacific-Rim Conference on Multimedia (PCM), 2017, 第 5 作者 (30) Hard Neighboring Variables Based Configuration Checking in Stochastic Local Search for Weighted Partial Maximum Satisfiability, 2017 International Conference on Tools with Artificial Intelligence, 2017, 第 5 作者 (31) 一种面向科学计算的数据流优化方法, Optimizing Dataflow Architecture for Scientific Applications, 计算机学报, 2017, 第 8 作者 (32) An Efficient Network-on-Chip Router for Dataflow Architecture, Journal of Computer Science and Technology, 2017, 第 8 作者 (33) A Non-Stop Double Buffering Mechanism for Dataflow Architecture, Journal of Computer Science and Technology(JCST), 2017, 第 5 作者 (34) A Pipelining Loop Optimization Method for Dataflow Architecture, Journal of Computer Science and Technology(JCST), 2017, 第 8 作者 (35) An Efficient Network-on-Chip Router for Dataflow Architecture, JSCT, 2016, 第 8 作者 (36) An Optimization of Dataflow Architectures for Scientific Applications, PACT, 2016, 第 4 作者 (37) Memory Partition for SIMD in Streaming Dataflow Architectures, IGSC, 2016, 第 4 作者 (38) An Evolutionary Technique for Performance-Energy-Temperature Optimized Scheduling of Parallel Tasks on Multi-Core Processors, IEEE Trans.Parallel Distrib.Syst. (TPDS), 2016, 第 3 作者 (39) A Framework for Energy-efficient Optimization on Multi-Cores, IGSC, 2016, 第 4 作者 (40) An Energy-efficient Bandwidth Allocation Method for Single-chip Heterogeneous Processor, IGSC, 2016, 第 4 作者 (41) 基于数据流块的空间指令调度, 计算机研究与发展, 2016, 第 4 作者 (42) On-Chip Generating FPGA Test Configuration Bitstreams to Reduce Manufacturing Test Time, Chinese Journal of Electronics, 2016, 第 5 作者 (43) On the Properties of Data Migration Based on Topology Pattern Keeping on Cache Hierarchy, IGSC, 2016, 第 2 作者 (44) A Percolation Data Migration Schema in A Hybrid Cache Hierarchy, IGSC, 2016, 第 2 作者 (45) ACCC: An Acceleration Mechanism for Character Operation based on Cache Computing in Big Data Applications, HPCC, 2016, 第 6 作者 (46) 一种面向科学计算的数据流优化方法, 计算机学报, 2016, 第 8 作者 (47) 一种缓存数据流信息的处理器前端设计, 计算机研究与发展, 2016, 第 5 作者 (48) 众核处理器片上网络的层次化全局自适应路由机制, 计算机研究与发展, 2016, 第 5 作者 (49) Enabling coordinated register allocation and thread-level parallelismoptimization for GPUs, 48th International Symposium on Microarchitectiure (MICRO), 2015, 第 4 作者 (50) Thread ID Based Power Reduction Mechanism for Multi-thread Shared Set-associative Caches, International Green and Sustainable Computing Conference (IGSC), 2015, 第 4 作者 (51) HD-NoC:面向高通量应用的高密度片上网络实现机制, HPC-China, 2015, 第 4 作者 (52) A High-Density Data Path Implementation fitting for HTC Applications, Workshop on Energy-Efficient High Throughput Computing for Big Data, 2015, 第 4 作者 (53) BDSim:面向大数据应用的组件化高可配并行模拟框架, 计算机学报, 2015, 第 4 作者 (54) EOFDM:一种面向众核架构的最低能耗搜索方法, 计算机研究与发展, 2015, 第 4 作者 (55) MACT:高通量众核处理器离散访存请求批量处理机制, 计算机研究与发展, 2015, 第 4 作者 (56) A Hierarchical Optical Network-On-Chip Using Central-Controlled Subnet and Wavelength Assignment, JOURNAL OF LIGHTWAVE TECHNOLOGY, 2014, 第 4 作者 (57) QBNoC: QoS-aware bufferless NoC architecture, Microelectronics Journal, 2014, 第 4 作者 (58) MALK: 一种高效处理大规模键值的MapReduce框架, 计算机研究与发展, 2014, 通讯作者 (59) 提升稀疏目录缓存一致性系统性能的方法, 计算机研究与发展, 2014, 第 4 作者 (60) VMM中Guest OS非陷入系统调用指令截获与识别, 计算机研究与发展, 2014, 通讯作者 (61) 基于全局同步逻辑时间的访存依赖约减方法, 计算机研究与发展, 2014, 第 4 作者 (62) 面向门级网表的VLSI三模冗余加固设计, 计算机工程与科学, 2014, 通讯作者 (63) BDSim : A component-based high configurable parallel simulation framework for big-data application evaluation, CCF Bigdata2014, 2014, 通讯作者 (64) SpongeDirectory: Flexible Sparse Directories Utilizing Multi-Level Memristors, PACT,2014, 2014, 第 4 作者 (65) International Symposium on Low Power Electronics and Desig, International Symposium on Low Power Electronics and Design, 2013, 第 2 作者 (66) Low Execution Efficiency: When General Multi-Core Processor Meets Wireless Communication Protocol, 15th IEEE International Conference on High Performance Computing and Communications, 2013, 第 4 作者 (67) An Efficient Parallel Mechanism for Highly-Debuggable Multicore Simulator, International Conference on Advanced Parallel Processing Technology, 2013, 第 2 作者 (68) Energy-Performance Modeling and Optimization of Parallel Computing in On-Chip Networks, The 11th IEEE International Symposium on Parallel and Distributed Processing with Aplications, 2013, 第 3 作者 (69) 多核结构片上网络性能-能耗分析及优化方法, 计算机学报, 2013, 通讯作者 (70) StreamTMC: Stream Compilation for Tiled Multi-core Architectures, Elsevier Journal of Parallel and Distributed Computing, 2013, 第 4 作者 (71) 3D Networks-on-Chip mapping targeting minimum signal TSVs, IEICE Electronics Express, 2013, 第 4 作者 (72) Scalability study of molecular dynamics simulation on Godson-T many-core architecture, JOURNAL OF PARALLEL AND DISTRIBUTED COMPUTING, 2013, 通讯作者 (73) A path-adaptive opto-electronic hybrid NoC for chip multi-processor, Proceedings - 12th IEEE International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2013, 2013, 通讯作者 (74) 针对组相联缓存的无效缓存路访问混合过滤机制研究, 计算机学报, 2013, 第 4 作者 (75) SimICT: A fast and flexible framework for performance and power evaluation of large-scale architecture, Proceedings of the International Symposium on Low Power Electronics and Design, 2013, 第 2 作者 (76) 众核处理器和众核集群的并行模拟, 计算机研究与发展, 2013, 通讯作者 (77) MALK——面向共享存储多核系统高效处理大规模键值的MapReduce框架, CCF BigData2013, 2013, 通讯作者 (78) SPARC平台模拟器源码级调试系统的研究与实现, 计算机工程与应用, 2013, 通讯作者 (79) Godson-T: An Efficient Many-Core Processor Exploring Thread- Level Parallelism, IEEE Micro, 2012, 第 1 作者 (80) Self-correction trace model: A full-system simulator for optical network-on-chip, Proceedings of the 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops, IPDPSW 2012 , 2012, 第 3 作者 (81) Auto-tuning GEMV on many-core GPU, Proceedings of the International Conference on Parallel and Distributed Systems - ICPADS, 2012, 通讯作者 (82) A SAT-based diagnosis pattern generation method for timing faults in scan chains, ISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems, 2012, 第 4 作者 (83) CRAW/P: A workload partition method for the efficient parallel simulation of many cores, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) , 2012, 通讯作者 (84) Performance Analysis and Optimization of Molecular Dynamics Simulation on Godson-T Many-core Processor, International Conference on Computing Frontiers (CF), 2011, 通讯作者 (85) Extendable Pattern-Oriented optimization Directives, Proceedings - International Symposium on Code Generation and Optimization, CGO 2011, 2011, 通讯作者 (86) Godson-T-- High-Efficient Architecture of Godson-T Many-Core Processor, HotChips, 2011, 第 1 作者 (87) New Methodologies for Parallel Architecture, Journal of Computer Science and Technology (JCST) , 2011, 第 1 作者 (88) Preliminary investigation of accelerating molecular dynamics simulation on godson-T many-core processor, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) , 2011, 通讯作者 (89) A case study: Low power design-for-testability features of a multi-core processor godson-T, Advanced Materials Research, 2011, 第 2 作者 (90) 混合体系结构中有状态硬件加速器的优化 , 计算机学报, 2011, 第 3 作者 (91) Optimizing web browser on many-core architectures , Parallel and Distributed Computing, Applications and Technologies, PDCAT Proceedings , 2011, 通讯作者 (92) Mapping routing lookup algorithm on many-core architecture based on Spm and Cache Mixed method, Applied Mechanics and Materials, 2011, 第 3 作者 (93) Study on the mapping of streaming application on many-core architecture, Applied Mechanics and Materials, 2011, 第 3 作者 (94) MULTICUBE: Multi-objective design space exploration of multi-core architectures , Lecture Notes in Electrical Engineering, 2011, 通讯作者 (95) PartitionSim:一个面向众核结构的并行模拟器, 计算机学报, 2011, 第 4 作者 (96) H.264去块滤波算法在众核结构上的并行优化, 小型微型计算机系统, 2011, 通讯作者 (97) An Efficient and Flexible Task Management for Many Cores, LNCS Transactions on High-Performance Embedded Architectures and Compilers, 2011, 第 3 作者 (98) 众核处理器片上同步机制和评估方法研究, 计算机学报, 2010, 第 4 作者 (99) Godson-T众核体系结构上的Broadcast性能优化, 计算机研究与发展, 2010, 第 3 作者 (100) P-GAS: Parallelizing a cycle-accurate event-driven many-core processor simulator using parallel discrete event simulation , Proceedings - Workshop on Principles of Advanced and Distributed Simulation, PADS , 2010, 通讯作者 (101) GVE: Godson-T verification engine for many-core architecture rapid prototyping and debugging , Proceedings - 2010 International Conference on Field-Programmable Technology, FPT 10, 2010, 通讯作者 (102) 蛋白质序列比对算法在众核结构上的并行与优化, 软件学报, 2010, 第 3 作者 (103) Thread Owned Block Cache: Managing Latency in Many-Core Architecture, International Conference on Parallel Computing (Euro-Par) , 2010, 第 3 作者 (104) Efficient Address Mapping of Shared Cache for On-Chip Many-Core Architecture, International Conference on Parallel Computing (Euro-Par) , 2010, 第 2 作者 (105) High Performance Comparison-Based Sorting Algorithm on Many-Core GPUs, International Parallel and Distributed Processing Symposium (IPDPS), 2010, 第 2 作者 (106) Landing Stencil Code on Godson-T, Journal of Computer Science and Technology (JCST) , 2010, 第 3 作者 (107) Minimal Multi-Threading: Finding and Removing Redundant Instructions in Multi-Threaded Processors, International Symposium on Microarchitecture (Micro), 2010, 通讯作者 (108) Architectural Support for Cilk Computations on Many-core Architectures, ACM SIGPLAN NOTICES, 2009, 第 2 作者 (109) GFFC: The global feedback based flow control in the NoC design for many-core processor, NPC 2009 - 6th International Conference on Network and Parallel Computing, 2009, 第 3 作者 (110) Study on fine-grained synchronization in many-core architecture, 10th ACIS Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2009, In conjunction with IWEA 2009 and WEACR 2009, 2009, 第 3 作者 (111) A fast linear-space sequence alignment algorithm with dynamic parallelization framework, Proceedings - IEEE 9th International Conference on Computer and Information Technology, CIT 2009 , 2009, 第 2 作者 (112) Software and hardware cooperate for 1-D FFT algorithm optimization on multicore processors, Proceedings - IEEE 9th International Conference on Computer and Information Technology, CIT 2009 , 2009, 第 3 作者 (113) Data management: The spirit to pursuit peak performance on many-core processor, Proceedings - 2009 IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2009 , 2009, 通讯作者 (114) 通用处理器的高带宽访存流水线研究 , 计算机学报, 2009, 通讯作者 (115) Characterizing and understanding the bandwidth behavior of workloads on multi-core processors, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 2009, 第 2 作者 (116) Design of new hash mapping functions , Proceedings - IEEE 9th International Conference on Computer and Information Technology, CIT 2009 , 2009, 第 3 作者 (117) A low-complexity synchronization based cache coherence solution for many cores, Proceedings - IEEE 9th International Conference on Computer and Information Technology, CIT 2009 , 2009, 第 2 作者 (118) Evaluation method of synchronization for shared-memory on-chip many-core processor, Proceedings - 2009 IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2009, 2009, 第 3 作者 (119) A synchronization-based alternative to directory protocol, Proceedings - 2009 IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2009 , 2009, 通讯作者 (120) High performance matrix multiplication on many cores, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) , 2009, 通讯作者 (121) 一种片上众核结构共享Cache动态隐式隔离机制研究, 计算机学报, 2009, 第 3 作者 (122) LU分解在Godson-Tv1众核体系结构上的并行化研究, 计算机学报, 2009, 第 2 作者 (123) Godson-T: An Efficient Many-Core Architecture for Parallel Program Executions, Journal of Computer Science and Technology (JCST) , 2009, 第 1 作者 (124) 高性能代价比的两层关联间接转移预测器, 计算机学报, 2008, 第 2 作者 (125) A Performance Model of Dense Matrix Operations on Many-core Architectures, International Conference on Parallel Computing (Euro-Par), 2008, 第 1 作者 (126) 嵌入式处理器中TLB 设计方法研究, 计算机学报,, 2006, 第 1 作者 (127) An Energy Efficient TLB Design Methodology, International Symposium on Low Power Electronics and Design (ISLPED), 2005, 第 1 作者 (128) Evaluation and Choice of Various Branch Predictors for Low-Power Embedded Processor, Journal of Computer Science and Technology (JCST), 2003, 第 1 作者

学术兼职

2017-10-18-2017-10-21,论坛主席, 2017年HPC China 会议处理器评测与优化技术论坛 2016-10-31-2017-10-31,Micro程序委员会, 委员 2016-01-01-2016-10-10,General Co-Chairs, The 7 th International Green & Sustainable Computing Conference, General Co-Chairs 2015-12-31-2016-10-17,Industrial liaison & Program Committee, 49 th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2016, Industrial liaison & Program Committee 2015-07-01-2015-12-20,Chair, Workshop on Energy-Efficient High Throughput Computing for Big Data, Chair 2015-07-01-2015-11-12,论坛主席, HPC-China 面向E级计算的新型处理器设计论坛主席 2014-05-01-今,机械工业出版社高性能专家委员会, 委员 2013-06-09-2014-06-09,PMAM程序委员会, 委员 2013-05-09-2014-05-09,HPCA程序委员会, 委员 2012-05-09-2013-05-09,ASP-DAC程序委员会, 委员 2012-02-01-今,Sustainable Computing国际期刊编委, 2011-08-03-今,CCF体系结构专委会常委, 2011-08-01-2011-08-31,第40届并行处理国际会议(ICPP 2011)副主席, 2011-06-01-今,NVIDIA全球合作教授, 2011-04-04-今,中科院计算所-Tilera联合实验室主任, 2010-06-01-今,中国计算机学会, 高级会员 2009-08-24-今,CCF工程与工艺专委会委员, 2009-06-18-今,CCF系统软件专委会委员, 2009-06-01-今,欧洲HiPEAC联盟, 会员 2007-01-01-今,IEEE会员,

推荐链接
down
wechat
bug