当前位置: X-MOL首页全球导师 国内导师 › 陈云霁

个人简介

招生专业 081201-计算机系统结构 招生方向 计算机系统结构 教育背景 2002-09--2007-07 中国科学院计算技术研究所 博士 教授课程 数字电路 并行系统 奖励信息 (1) 教育部青年“长江学者”, 一等奖, 部委级, 2019 (2) 深度学习处理器体系结构, 一等奖, 部委级, 2019 (3) 国家杰出青年科学基金, 一等奖, 国家级, 2019 (4) 中科院卢嘉锡青年人才奖, , 院级, 2013 (5) 国家自然科学基金优秀青年基金, , 国家级, 2012 (6) 国家万人计划“青年拔尖人才”, , 国家级, 2012 专利成果 ( 1 ) 一种分形树结构通信结构、方法、控制装置及智能芯片, 发明, 2015, 第 5 作者, 专利号: 2444:37:3 ( 2 ) 多输入多输出处理器流水线数据同步装置及方法, 发明, 2015, 第 5 作者, 专利号: 2602688 ( 3 ) 流水级运算装置、数据处理方法及片上网络芯片, 发明, 2015, 第 5 作者, 专利号: 2444384 ( 4 ) 硬件实现的数据排序装置、方法及数据处理芯片, 发明, 2014, 第 3 作者, 专利号: 2510638 ( 5 ) 数据累加装置、方法及数字信号处理装置, 发明, 2015, 第 5 作者, 专利号: 2454755 ( 6 ) 基于分形树结构的数据发布装置、方法、控制装置及职能芯片, 发明, 2015, 第 4 作者, 专利号: 2436674 ( 7 ) 一种分形树结构通信结构、方法、控制装置及智能芯片, 发明, 2015, 第 4 作者, 专利号: 2531932 ( 8 ) 一种神经网络加速器及其运算方法, 发明, 2016, 第 4 作者, 专利号: 2444345 发表著作 (1) 计算机体系结构, 清华大学出版社, 2011-06, 第 2 作者 (2) 智能计算系统, AI Computing Systems, 华章出版社, 2020-03, 第 1 作者 科研项目 ( 1 ) 易编程的异构并行处理器结构, 主持, 国家级, 2015-01--2019-12 ( 2 ) XXX, 主持, 国家级, 2017-01--2020-09 ( 3 ) XXX, 主持, 国家级, 2017-01--2020-12 参与会议 (1)Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems 2017-04-08

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

(1) TDSNN:from DNN to deep SNN with temporal coding, AAAI Conference on Artificial Intelligence, 2019, 通讯作者 (2) Cambricon-F: Machine Learning Computers with Fractal von Neumann Architecture, ISCA, 2019, 通讯作者 (3) BSHIFT: A Low Cost Deep Neural Networks Accelerator, The 15th Annual IFIP International Conference on Network and Parallel Computing, 2018, 通讯作者 (4) Addressing Sparisity in Deep Neural Networks, IEEE Trans. on CAD of Integrated Circuits and Systems, 2018, 第 9 作者 (5) Cambricon-S:Addressing Irregularity in Sparse Neural Networks through a Cooperative Software/Hardware Approach, 2018 51th IEEE/ACM symposium on microprocessors, 2018, 第 9 作者 (6) DLPlib: A Library for Deep Learning Processor, Journal of Computer Science and Technology, 2017, 第 9 作者 (7) DaDianNao: A Neural Network Supercomputer, IEEE Transactions on Computers, 2017, 第 9 作者 (8) An Accelerator for High Efficient Vision Processing, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, 第 10 作者 (9) Secure Outsourcing of Virtual Appliance, IEEE Transactions on Cloud Computing, 2017, 第 4 作者 (10) Service-Oriented Architecture on FPGA-Based MPSoC, IEEE Transactions on Parallel & Distributed Systems, 2017, 第 3 作者 (11) TuNao: A High-Performance and Energy-Efficient Reconfigurable Accelerator for Graph Processing, Proceedings of the 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, 2017, 第 9 作者 (12) Cambricon: An Instruction Set Architecture for Neural Networks, Cambricon: An Instruction Set Architecture for Neural Networks, 2016, 通讯作者 (13) Accelerating Architectural Simulation Via Statistical Techniques: A Survey, IEEE Trans. on CAD of Integrated Circuits and Systems, 2016, 第 3 作者 (14) IMR: High-Performance Low-Cost Multi-Ring NoCs, IEEE Transactions on Parallel and Distributed Systems, 2016, 通讯作者 (15) Cambricon-X: An accelerator for sparse neural networks, In Proceedings of 49th IEEE/ACM International Symposium on Microarchitecture (MICRO'16)., 2016, 通讯作者 (16) DianNao family: energy-efficient hardware accelerators for machine learning, Communications of the ACM, 2016, 第 1 作者 (17) Deterministic Replay: A Survey, ACM Comput. Surv, 2015, 第 1 作者 (18) ShiDianNao: Shifting Vision Processing Closer to the Sensor, In Proceedings of the 42nd Annual International Symposium on Computer Architecture (ISCA’15)., 2015, 第 8 作者 (19) Architecture Support for Task Out-of-Order Execution in MPSoCs, IEEE Transactions on Computers, 2015, 第 5 作者 (20) Statistical Performance Comparisons of Computers, IEEE Transactions on Computers, 2015, 通讯作者 (21) Leveraging the Error Resilience of Neural Networks for Designing Highly Energy Efficient Accelerators, IEEE Trans. on CAD of Integrated Circuits and Systems, 2015, 第 3 作者 (22) A Small-Footprint Accelerator for Large-Scale Neural Networks, ACM Transactions on Computer Systems, 2015, 第 10 作者 (23) FreeRider: Non-Local Adaptive Network-on-Chip Routing with Packet-Carried Propagation of Congestion Information, IEEE Transactions on Parallel and Distributed Systems, 2015, 通讯作者 (24) PuDianNao: A Polyvalent Machine Learning Accelerator., In Proceedings of 20th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2015)., 2015, 通讯作者 (25) ReCBuLC: Reproducing Concurrency Bugs Using Local Clocks, International Conference on Software Engineering, 2015, 第 9 作者 (26) Neuromorphic accelerators: a comparison between neuroscience and machine-learning approaches, In Proceedings of 48th IEEE/ACM International Symposium on Microarchitecture (MICRO'15)., 2015, 第 3 作者 (27) Practical Iterative Optimization for the Data Center, ACM Transactions on Architecture and Code Optimization, 2015, 第 1 作者 (28) DianNao: A Small-Footprint High-Throughput Accelerator for Ubiquitous Machine-Learning, In Proceedings of 19th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2014)., 2014, 第 6 作者 (29) DaDianNao: A Machine-Learning Supercomputer, In Proceedings of 47th IEEE/ACM International Symposium on Microarchitecture (MICRO'14)., 2014, 第 1 作者

推荐链接
down
wechat
bug