当前位置: X-MOL首页全球导师 国内导师 › 喻文健

个人简介

教育背景 工学学士 (计算机科学与技术), 清华大学, 中国, 1999 工学硕士 (计算机科学与技术), 清华大学, 中国, 2001 工学博士 (计算机软件与理论), 清华大学, 中国, 2003 社会兼职 《计算机辅助设计与图形学学报》: 编委 (2010-2012) ASP-DAC 2005, 2007, 2008: 程序委员会委员 (2005-2008) SLIP 2009: 程序委员会委员 (2009) 研究课题 国家自然科学基金面上课题: 有耗衬底电磁参数的边界元提取算法研究 (2005-2008) 国家自然科学基金面上课题: VLSI芯片级完整耦合互连寄生参数提取算法研究 (2005-2008) 清华大学信息学院基础研究基金课题: 45 纳米及其后CMOS 技术代互连分析与算法研究 (2006-2008) 国家科技重大专项“十一五”课题: 先进EDA工具平台开发(清华大学部分) (2008-2010) 奖励与荣誉 教育部自然科学二等奖: 超大规模集成电路物理级优化和验证问题基础研究 (2005) 全国百篇优秀博士论文提名 (2005)

研究领域

集成电路与系统的计算机辅助设计 数值算法与软件

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

Dingcheng Yang, Zihao Xiao, and Wenjian Yu, "Boosting the adversarial transferability of surrogate model with dark knowledge," arXiv preprint arXiv#2206.08316, 2022. [TKDE] Yuyang Xie, Yuxiao Dong, Jiezhong Qiu, Wenjian Yu, Xu Feng, Jie Tang, “SketchNE: Embedding billion-scale networks accurately in one hour,” IEEE Trans. Knowledge and Data Engineering, 2023, 14 pages. (accepted) [package] [TKDE] Yuyang Xie, Jiezhong Qiu, Laxman Dhulipala, Wenjian Yu, Jie Tang, Richard Peng, and Chi Wang, “Towards lightweight and automated representation learning system for networks,” IEEE Trans. Knowledge and Data Engineering, 2023, 14 pages. (accepted) [TCAD] Zhiqiang Liu and Wenjian Yu, “pGRASS-Solver: A graph spectral sparsification based parallel iterative solver for large-scale power grid analysis,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 2022, 14 pages. (accepted) [TCAS-II] Shan Shen, Hao Xu, Yongliang Zhou, and Wenjian Yu, “A single-ended offset-canceling sense amplifier enabling wide-voltage operations,” IEEE Trans. Circuits and Systems II, 70(3): 1139-1143, Mar. 2023. [pdf] [TODAES] Dingcheng Yang, Haoyuan Li, Wenjian Yu, Yuanbo Guo, and Wenjie Liang, “CNN-Cap: Effective convolutional neural network based capacitance models for interconnect capacitance extraction,” ACM Transactions on Design Automation of Electronic Systems, 28(4): art. no. 56 (22 pages), Jul. 2023. [pdf] [package] [TODAES] Jiawen Cheng, Yong Xiao, Yun Shao, Guanghai Dong, Songlin Lyu, and Wenjian Yu, “Machine-learning-driven architectural selection of adders and multipliers in logic synthesis,” ACM Transactions on Design Automation of Electronic Systems, 28(2): art. no. 20 (16 pages), Mar. 2023. [pdf] [ICCAD] Zhiqiang Liu and Wenjian Yu, "Accuracy-preserving reduction of sparsified reduced power grids with a multilevel node aggregation scheme," in Proc. International Conference on Computer-Aided Design (ICCAD), San Francisco, USA, Oct. 2023. [IJCAI] Xu Feng and Wenjian Yu, "A fast adaptive randomized PCA algorithm," in Proc. the 32nd International Joint Conference on Artificial Intelligence (IJCAI), Macao, China, Aug. 2023, pp. 3695-3704. (acceptance rate 15%) [pdf] [package] [IJCNN] Dingcheng Yang, Wenjian Yu, Zihao Xiao, and Jiaqi Luo, "Generating adversarial examples with better transferability via masking unimportant parameters of surrogate model," in Proc. the International Joint Conference on Neural Networks (IJCNN), Queensland, Australia, Jun. 2023. [pdf] arXiv#2304.06908 [GLSVLSI] Lingjie Li, Wenjian Yu, Genhua Guo, Zhenya Zhou, "More efficient accuracy-ensured waveform compression for circuit simulation supporting asynchronous waveforms," in Proc. ACM Great Lake Symposium of VLSI (GLSVLSI), Knoxville, USA, Jun. 2023, pp. 305-311. [pdf] (Best Paper Award Nomination) [GLSVLSI] Zhenyi Gao, Yuyang Xie, Wenjian Yu, "Efficient and effective digital waveform compression for large-scale logic simulation of integrated circuit," in Proc. ACM Great Lake Symposium of VLSI (GLSVLSI), Knoxville, USA, Jun. 2023, pp. 373-377. [pdf] [CSTIC] Jiahao Xu, Yibin Zhang, Shenghan Gao, Jiechen Huang, Ming Yang, and Wenjian Yu, "A 2-D multi-dielectric capacitance solver based on floating random walk method," in Proc. CSTIC, Shanghai, China, Jun. 2023. [pdf] [ISEDA] Qirui Yang, Kang Zhao, Wenjian Yu, Yun Shao, and Yong Xiao, "A resource sharing approach for logic synthesis based on Monte Carlo tree search," in Proc. ISEDA, Nanjing, China, May 2023. [DATE] Zhiqiang Liu and Wenjian Yu, "Computing effective resistances on large graphs based on approximate inverse of Cholesky factor," in Proc. Design, Automation & Test in Europe Conference (DATE), Antwerp, Belgium, Apr. 2023. (Best Paper Award Nomination) [pdf] arXiv#2303.03617 [ISQED] Zhenyi Gao, Sheqin Dong, Zhicong Tang, Wenjian Yu, "MC-MCF: A multi-capacity model for ordered escape routing," in Proc. International Symposium on Quality Electronic Design (ISQED), San Francisco, CA, USA, Apr. 2023, pp. 97-103. [pdf] [ASPDAC] Lingjie Li, Zhiqiang Liu, Kan Liu, Shan Shen, and Wenjian Yu, "Parallel incomplete LU factorization based iterative solver for fixed-structure linear equations in circuit simulation," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan. 2023, pp. 339-345. [pdf] [ASPDAC] Jiechen Huang, Wenjian Yu, Mingye Song, and Ming Yang, "Accelerated capacitance simulation of 3-D structures with considerable amounts of general floating metals," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan. 2023, pp. 346-351. [pdf] [SISC] Guoqiang Wang, Wenjian Yu, Xiubo Liang, Yuanqing Wu, and Bo Yu, "An iterative reduction FISTA algorithm for large-scale LASSO," SIAM Journal on Scientific Computing, 44(4): A1989-A2017, 2022. [pdf] [package] Hao Wen, Wenjian Yu, Yuanqing Wu, Jun Zhao, Xiaolong Liu, Zhexiang Kuang and Rong Fan, "Acne detection and severity evaluation with interpretable convolutional neural network models," Technology and Health Care, Vol. 30, No. S1, pp. 143-153, 2022. [pdf] [TCAD] Ming Yang, Wenjian Yu, Mingye Song, and Ning Xu, "Volume reduction and fast generation of the pre-characterization data for floating random walk based capacitance extraction," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 41(5): 1467-1480, 2022. [pdf] [TCAD] Zhiqiang Liu, Wenjian Yu, and Zhuo Feng, "feGRASS: Fast and effective graph spectral sparsification for scalable power grid analysis," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 41(3): 681-694, 2022. [pdf] [package] [JCAM] Lingjie Li, Wenjian Yu, and Kim Batselier, "Faster tensor train decomposition for sparse data," Journal of Computational and Applied Mathematics, Vol. 405, art. no. 113972 (18 pages), May 2022. [pdf] [package] Dingcheng Yang, Wenjian Yu, Xiangyun Ding, Ao Zhou, and Xiaoyi Wang, "DP-Nets: Dynamic programming assisted quantization schemes for DNN compression and acceleration," Integration, the VLSI Journal, 82: 147-154, 2022. [pdf] [PR] Cong Chen, Kim Batselier, Wenjian Yu and Ngai Wong, "Kernelized support tensor train machines," Pattern Recognition, Vol. 122, art. no. 108337 (11 pages), Feb. 2022. [pdf] [ICTAI] Yuyang Xie, Xu Feng, Xizhi Zhang, Jiezhong Qiu and Wenjian Yu, "Approximating element-wise functions of matrix with improved streaming randomized SVD," in Proc. the 34th IEEE International Conference on Tools with Artificial Intelligence (ICTAI), Oct. 2022, pp. 122-130. [pdf][package] [ICSICT] Songlin Lyu, Jiawen Cheng, Yun Shao, Yong Xiao, Wenjian Yu, "Multi-constant multiplication optimization based on common sub-expression elimination," in Proc. International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Nanjing, China, Oct. 2022. [pdf] [ECML-PKDD] Xu Feng, Wenjian Yu, and Yuyang Xie, "Pass-efficient randomized SVD with boosted accuracy," in Proc. European Conference on Machine Learning and Principles and Practice of Knowledge Discovery in Databases (ECML-PKDD), Grenoble, France, Sep. 2022, pp. 3-20 [pdf] [package] [DAC] Zhiqiang Liu and Wenjian Yu, "Pursuing more effective graph spectral sparsifiers via approximate trace reduction," in Proc. Design Automation Conference (DAC), San Francisco, USA, Jul. 2022, pp. 613-618. [pdf] arXiv#2206.06223 黄杰辰, 冯栩, 喻文健,“基于自适应矩阵低秩分解的三维电容提取计算加速”,计算机辅助设计与图形学学报,第34卷,第7期,pp. 1138-1146, 2022年. [pdf] 宋明烨,喻文健,“处理纳米工艺下大规模线网的快速随机行走电容提取技术”,计算机辅助设计与图形学学报,第34卷,第4期,pp. 491-498, 2022年. [pdf] 严韫洲,喻文健,裴春艳,胡超,“面向集成电路互连电容提取的三维交互显示程序设计与实现”,计算机辅助设计与图形学学报,第34卷,第4期,pp. 507-514, 2022年. [pdf] 程佳文,梁文杰,李凌劼,喻文健,“基于割线法的显示面板定阻值布线方法”,计算机辅助设计与图形学学报,第34卷,第3期,pp. 325-331, 2022年. [pdf] 冯栩,喻文健,李凌,“结合领域知识的因子分析:在金融风险模型上的应用”,自动化学报,第48卷,第1期,pp. 121-132, 2022年. [pdf] [TCAD] Lingjie Li and Wenjian Yu, "Efficient and accuracy-ensured waveform compression for transient circuit simulation," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 40(7): 1437-1449, 2021. [pdf] [package] Hao Wen, Wenjian Yu, Yuanqing Wu, Shuai Yang, Xiaolong Liu, "A scalable hybrid model for atrial fibrillation detection," Journal of Mechanics in Medicine and Biology, 21(5): art. no. 2140021 (12 pages), 2021. [pdf] [TCAD] Xiaoyi Wang, Shaobin Ma, Sheldon X.-D. Tan, Chase Cook, Liang Chen, Jianlei Yang, and Wenjian Yu, "Fast physics-based electromigration analysis for full-chip networks by efficient eigenfunction-based solution," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 40(3): 507-520, 2021. [pdf] [ICCAD] Zhiqiang Liu and Wenjian Yu, "pGRASS-Solver: A parallel iterative solver for scalable power grid analysis based on graph spectral sparsification," in Proc. International Conference on Computer-Aided Design (ICCAD), Munich, Germany, Nov. 2021. (Best Paper Award Nomination). [pdf] [ICCAD] Dingcheng Yang, Wenjian Yu, Yuanbo Guo and Wenjie Liang, "CNN-Cap: Effective convolutional neural network based capacitance models for full-chip parasitic extraction," in Proc. International Conference on Computer-Aided Design (ICCAD), Munich, Germany, Nov. 2021. [pdf] [AAAI] Jiabao Zhang, Shenghua Liu, Wenting Hou, Siddharth Bhatia, Huawei Shen, Wenjian Yu, and Xueqi Cheng, "AugSplicing: Synchronized behavior detection in streaming tensors," in Proc. the AAAI Conference on Artificial Intelligence (AAAI) , Feb. 2021, 35(5), 4653-4661. [pdf] [ASPDAC] Wenjian Yu, Mingye Song, and Ming Yang, "Advancements and challenges on parasitic extraction for advanced process technologies," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Jan. 2021, pp. 841-846. (invited paper) [pdf] [ASPDAC] Dingcheng Yang, Wenjian Yu, Haoyuan Mu, and Gary Yao, "Dynamic programming assisted quantization approaches for compressing normal and robust DNN models," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Jan. 2021, pp. 351-357. [pdf] 谢雨洋,李凌劼,喻文健,“面向集成电路逻辑仿真的高效数字波形压缩方法”,计算机辅助设计与图形学学报,第33卷,第11期,pp. 1786-1794, 2021年. [pdf] [package] 包云岗, 常轶松, 韩银和, 黄立波, 李华伟, 梁云, 罗国杰, 尚笠, 唐丹, 王颖, 解壁伟, 喻文健, 张科, 孙凝晖, “处理器芯片敏捷设计方法:问题与挑战”,计算机研究与发展,第58卷,第6期,pp. 1131-1145, 2021年. [pdf] 杨明,喻文健,“悬浮随机行走电容提取中多介质格林函数表的快速生成”,计算机辅助设计与图形学学报,第33卷,第3期,pp. 333-339, 2021年 谢雨洋,冯栩,喻文健,唐杰,“基于随机化矩阵分解的网络嵌入方法”,计算机学报, 第44卷,第3期,pp. 447-461, 2021年。[pdf] [TCAD] Ming Yang and Wenjian Yu, "Floating random walk capacitance solver tackling conformal dielectric with on-the-fly sampling on eight-octant transition cubes," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 39(12): 4935-4943, 2020. [pdf] [TIP] Ching-Yun Ko, Kim Batselier, Luca Daniel, Wenjian Yu, and Ngai Wong, "Fast and accurate tensor completion with total variation regularized tensor trains," IEEE Trans. Image Processing, 29: 6918-6931, 2020. [pdf] arXiv#1804.06128 [TCAD] Ming Yang and Wenjian Yu, "Reliable macromodel generation for the capacitance extraction based on macromodel-aware random walk algorithm," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 39(4): 946-951, 2020. [pdf] Wenjian Yu, Cheng Zhuo, and Weikang Qian, "Introduction to special issue of 2019 China Semiconductor Technology International Conference (CSTIC) Symposium on Design and Automation of Circuits and Systems," Integration, the VLSI Journal, 75: 168-168, 2020. [pdf] [ICTAI] Xiangyun Ding, Wenjian Yu, Yuyang Xie, and Shenghua Liu, "Efficient model-based collaborative filtering with fast adaptive PCA," in Proc. the 32th IEEE International Conference on Tools with Artificial Intelligence (ICTAI), Nov. 2020, pp. 955-960. [pdf] arXiv#2009.02251 [CSTIC] Wenjie Liang and Wenjian Yu, "A 2-D capacitance solver with finite difference method," in Proc. CSTIC, Shanghai, China, Jun. 2020. [pdf] [DATE] Mingye Song, Ming Yang, and Wenjian Yu, "Floating random walk based capacitance solver for VLSI structures with non-stratified dielectrics," in Proc. Design, Automation & Test in Europe Conference (DATE), Grenoble, France, Mar. 2020, pp. 1133-1138. (Best Paper Award Nomination). [pdf] [ASPDAC] Wenjian Yu, Ming Yang, Yao Feng, Ganqu Cui, and Ben Gu, "Capacitance extraction and power grid analysis using statistical and AI methods," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Beijing, China, Jan. 2020, pp. 428-433. (invited paper). [pdf] [MATCOM] Bolong Zhang, Wenjian Yu, and Michael Mascagni, "Revisiting Kac's method: A Monte Carlo algorithm for solving the telegrapher's equations," Mathematics and Computers in Simulation, 156: 178-193, 2019. [pdf] [package] [ICTAI] Yuzhe Ma, Ran Chen, Wei Li, Fanhua Shang, Wenjian Yu, Minsik Cho and Bei Yu, "A unified approximation framework for compressing and accelerating deep neural networks," in Proc. the 31th IEEE International Conference on Tools with Artificial Intelligence (ICTAI), Portland, USA, Nov. 2019, pp. 376-383. (Best Student Paper Award) [pdf] arXiv#1807.10119 [EDBT] Dingcheng Yang, Wenjian Yu, Junhui Deng, and Shenghua Liu, "Optimal algorithm for profiling dynamic arrays with finite values," in Proc. 22nd International Conference on Extending Database Technology (EDBT), Lisbon, Portugal, Mar. 2019, pp. 658-661. [pdf] arXiv#1812.05306 [PAKDD] Jiabao Zhang, Shenghua Liu, Wenjian Yu, Wenjie Feng, and Xueqi Cheng, "EigenPulse: Detecting surges in large streaming graphs with row augmentation," in Proc. the 23rd Pacific-Asia Conference on Knowledge Discovery and Data Mining (PAKDD), Macau, China, Apr. 2019, pp. 501-513. [pdf] [DATE] Ganqu Cui, Wenjian Yu, Xin Li, Zhiyu Zeng, and Ben Gu, "Machine-learning-driven matrix ordering for power grid analysis," in Proc. Design, Automation & Test in Europe Conference (DATE), Florence, Italy, Mar. 2019, pp. 978-981. [pdf] [DATE] Mingye Song, Zhezhao Xu, Wenjian Yu, and Lei Yin, "Realizing reproducible and reusable parallel floating random walk solvers for practical usage," in Proc. Design, Automation & Test in Europe Conference (DATE), Florence, Italy, Mar. 2019, pp. 192-197. [pdf] [SIMAX] Wenjian Yu, Yu Gu and Yaohang Li, "Efficient randomized algorithms for the fixed-precision low-rank matrix approximation," SIAM Journal on Matrix Analysis and Applications, 39(3): 1339-1359, 2018. [pdf] [package] [SIMAX] Kim Batselier, Wenjian Yu, Luca Daniel and Ngai Wong, "Computing low-rank approximations of large-scale matrices with the tensor network randomized SVD," SIAM Journal on Matrix Analysis and Applications, 39(3): 1221-1244, Aug. 2018. [pdf] [TCAD] Wenjian Yu, Zhezhao Xu, Bo Li and Cheng Zhuo, "Floating random walk based capacitance simulation considering general floating metals," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 37(8): 1711-1715, 2018. [pdf] [ACML] Xu Feng, Yuyang Xie, Mingye Song, Wenjian Yu, and Jie Tang, "Fast randomized PCA for sparse data," in Proc. the 10th Asian Conference on Machine Learning (ACML), Beijing, China, Nov. 2018, pp. 710-725. [pdf] [package] arXiv#1810.06825 [ICTAI] Xu Feng, Wenjian Yu, and Yaohang Li, "Faster matrix completion using randomized SVD," in Proc. the 30th IEEE International Conference on Tools with Artificial Intelligence (ICTAI), Volos, Greece, Nov. 2018, pp. 608-615. [pdf] [package] arXiv#1810.06860 [ICSICT] Wenjian Yu, Mingye Song, and Zhezhao Xu, "Recent advance on floating random walk based capacitance solver for VLSI circuit design," in Proc. International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Qingdao, China. Oct. 2018 (invited paper). [pdf] [IJCNN] Rui Dai, Lefei Li and Wenjian Yu, "Fast training and model compression of gated RNNs via singular value decomposition," in Proc. the International Joint Conference on Neural Networks (IJCNN), Rio de Janeiro, Brazil, Jul. 2018. [pdf] [GLSVLSI] Mingye Song, Zhezhao Xu, Wei Xue, Wenjian Yu, "A distributed parallel random walk algorithm for large-scale capacitance extraction and simulation," in Proc. ACM Great Lake Symposium of VLSI (GLSVLSI), Chicago, USA, May 2018, pp. 189-194. (Best Paper Award Nomination). [pdf] [TCAD] Khalid Al-jabery, Zhezhao Xu, Wenjian Yu, Donald C. Wunsch, II, Jinjun Xiong and Yiyu Shi, "Demand-side management of domestic electric water heaters using approximate dynamic programming," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 36(5): 775-788, 2017 [pdf] [TCAD] Zhezhao Xu, Chao Zhang, and Wenjian Yu, "Floating random walk based capacitance extraction for general non-Manhattan conductor structures," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 36(1): 120-133, 2017 [pdf][package] [ASICON] Wenjian Yu and Taotao Lu, "Efficient algorithms for resistance and capacitance calculation problems in the design of flat panel display," in Proc. International Conference on ASIC, Guiyang, China, Oct. 2017, pp. 973-976 (invited paper). [pdf] [IJCAI] Wenjian Yu, Yu Gu, Jian Li, Shenghua Liu, and Yaohang Li, "Single-pass PCA of large high-dimensional data," in Proc. the 26th International Joint Conference on Artificial Intelligence (IJCAI-17), Melbourne, Australia, Aug. 2017, pp. 3350-3356. [pdf] [package] arXiv report #1704.07669. [ACES] Ming Yang and Wenjian Yu, "Efficient generation of 3-D capacitance macromodel based on finite difference method," in Proc. 2017 International Applied Computational Electromagnetics Society (ACES) Symposium, Suzhou, China, Aug. 2017 (Best Student Paper Award) [pdf] 冯栩,李可欣,喻文健,黎耀航,“基于随机奇异值分解的快速矩阵补全算法及其应用”,计算机辅助设计与图形学学报,第29卷,第12期,pp. 2343-2348, 2017年 [TCAD] Hao Zhuang, Wenjian Yu, Shih-Hung Weng, Ilgweon Kang, Jeng-Hau Lin, Xiang Zhang, Ryan Coutts and Chung-Kuan Cheng, "Simulation algorithms with exponential integration for time-domain analysis of large-scale power delivery networks," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 35(10): 1681-1694, 2016 [pdf] Wenjian Yu, Chensu Zhao, Siyu Yang, and Taotao Lu, "The application of boundary element method to the resistance calculation problem in designing flat panel displays," Journal of the Society for Information Display, 24(3): 177-186, 2016 [pdf][package] Bolong Zhang, Wenjian Yu, and Chao Zhang, "Improved pre-characterization method for the random walk based capacitance extraction of multi-dielectric VLSI interconnects," International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, 29(1): 21-34, 2016 [pdf] [ICSICT] Wenjian Yu, "Applications of Monte Carlo method to 3-D capacitance calculation and large matrix decomposition," in Proc. International Conference on Solid-State and Integrated Circuit Technology, Hangzhou, China, Oct. 2016, pp. 227-230 (invited paper). [pdf] [GLSVLSI] Zhezhao Xu, Wenjian Yu, Chao Zhang, Bolong Zhang, Meijuan Lu and Michael Mascagni, "A parallel random walk solver for the capacitance calculation problem in touchscreen design," in Proc. ACM Great Lake Symposium of VLSI (GLSVLSI), Boston, USA, May 2016, pp. 99-104. [pdf] [DATE] Wenjian Yu, Bolong Zhang, Chao Zhang, Haiquan Wang, and Luca Daniel, "Utilizing macromodels in floating random walk based capacitance extraction," in Proc. Design, Automation & Test in Europe Conference (DATE), Dresden, Germany, Mar. 2016, pp. 1225-1230. (Best Paper Award! only 4 from 829 submissions) [pdf] 胡君, 徐宁, 喻文健, “基于随机行走电容提取且保证准确度的线网时延计算方法”, 计算机辅助设计与图形学学报, 第28卷,第1期,pp. 188-196, 2016年 [TCAD] Chao Zhang, Wenjian Yu, Qing Wang, and Yiyu Shi, "Fast random walk based capacitance extraction for the 3-D IC structures with cylindrical inter-tier-vias," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 34(12): 1977-1990, 2015 [pdf] Qiang Yao, Zuochang Ye, Wenjian Yu, "An efficient method for comprehensive modeling and parasitic extraction of cylindrical through-silicon vias in 3D ICs," Journal of Semiconductor, 36(8): 085006-1~7, 2015. [pdf] Y. Wang, T. T. Yang, J. C. Lao, R. J. Zhang, Y. Y. Zhang, M. Zhu, X. Li, X. B. Zang, K. L. Wang, Wenjian Yu, H. Jin, L. Wang, H. W. Zhu, "Ultra-sensitive graphene strain sensor for sound signal acquisition and recognition," Nano Research, 8(5): 1627-1636, 2015. [pdf] [TVLSI] Xue-Xin Liu, Kuangya Zhai, Zao Liu, Kai He, Sheldon X.-D. Tan, and Wenjian Yu, "Parallel thermal analysis of 3D integrated circuits with liquid cooling on CPU-GPU platforms," IEEE Trans. Very Large Scale Integration Systems, 23(3): 575-579, 2015 [pdf] [DAC] Hao Zhuang, Wenjian Yu, Ilgweon Kang, Xinan Wang, Chung-Kuan Cheng, "An algorithmic framework for efficient large-scale circuit simulation using exponential integrators," in Proc. Design Automation Conference (DAC), San Francisco, CA, USA, Jun. 2015, pp. 1-6. [pdf] 齐明,赵陈粟,张超,喻文健,“面向高精度寄生参数提取与时延分析的集成电路版图数据转换方法”, 计算机辅助设计与图形学学报,第27卷,第6期,pp. 1143-1150, 2015年 Kuangya Zhai, Wenjian Yu "The 2-D boundary element techniques for capacitance extraction of nanometer VLSI interconnects," International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, 27: 656-668, 2014 [pdf] [ICCAD] Wenjian Yu, Chao Zhang, Qing Wang, and Yiyu Shi, "Random walk based capacitance extraction for 3D ICs with cylindrical inter-tier-vias," in Proc. International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, Nov. 2014, pp. 702-709. [pdf] [ICSICT] Wenjian Yu, "Two fast approaches for 3D thermal simulation of integrated circuits," in Proc. International Conference on Solid-State and Integrated Circuit Technology, Guilin, China. Oct. 2014, pp. 151-154. (invited paper) [pdf] [ASPDAC] Yuan Liang, Wenjian Yu, Haifeng Qian, "A hybrid random walk algorithm for 3-D thermal analysis of integrated circuits," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Singapore, Jan. 2014, pp. 849-854. [pdf] [ASPDAC] Chao Zhang, Wenjian Yu, "Efficient techniques for the capacitance extraction of chip-scale VLSI interconnects using floating random walk algorithm," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Singapore, Jan. 2014, 756-761. [pdf] 张青青,喻文健,骆祖莹,“圆柱形硅通孔的二维解析电容模型”,计算机学报,第37卷,第7期,pp. 1521-1527, 2014年 [TCAD] Wenjian Yu, Tao Zhang, Xiaolong Yuan, and Haifeng Qian, "Fast 3-D thermal simulation for integrated circuits with domain decomposition method," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 32(12): 2014-2018, 2013 (listed as one of the TCAD popular papers) [pdf] [TCAD] Chao Zhang, Wenjian Yu, "Efficient space management techniques for large-scale interconnect capacitance extraction with floating random walks," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 32(10): 1633-1637, 2013 [pdf][package] [SIMPAT] Wenjian Yu, Kuangya Zhai, Hao Zhuang, Junqing Chen, "Accelerated floating random walk algorithm for the electrostatic computation with 3-D rectilinear-shaped conductors," Simulation Modelling Practice and Theory, 34(5): 20-36, 2013 [pdf] [TCAD] Wenjian Yu, Hao Zhuang, Chao Zhang, Gang Hu, and Zhi Liu, "RWCap: A floating random walk solver for 3-D capacitance extraction of VLSI interconnects," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 32(3): 353-366, 2013 (listed as one of the TCAD popular papers) [pdf][package] [ASICON] Wenjian Yu, "RWCap2: Advanced floating random walk solver for the capacitance extraction of VLSI interconnects," in Proc. International Conference on ASIC, Shenzhen, China. Oct. 2013, pp. 162-165. (invited paper) [pdf] [ASICON] Wenjian Yu, Siyu Yang, Qingqing Zhang, "Analytical model of the coupling capacitance between cylindrical through silicon via and horizontal interconnect in 3D IC," in Proc. International Conference on ASIC, Shenzhen, China. Oct. 2013, pp. 1015-1018. [pdf] [DATE]Kuangya Zhai, Wenjian Yu, Hao Zhuang, "GPU-Friendly floating random walk algorithm for capacitance extraction of VLSI interconnects," in Proc. Design, Automation & Test in Europe Conference (DATE), Grenoble, France, Mar. 2013, pp. 1661-1666. [pdf] 杨思宇,喻文健,“面向液晶显示面板配线电阻计算的边界元/解析混合算法”,计算机辅助设计与图形学学报,第25卷,第10期,pp. 1566-1573, 2013年 [SR] Xiao Li, Rujing Zhang, Wenjian Yu, Kunlin Wang, Jinquan Wei, Dehai Wu, Anyuan Cao, Zhihong Li, Yao Cheng, Quanshui Zheng, Rodney S. Ruoff, Hongwei Zhu, "Stretchable and highly sensitive graphene-on-polymer strain sensors," Scientific Reports, 2012, 2: art.-no: 870 [pdf] [MR] Wenjian Yu, Qingqing Zhang, Zuochang Ye, Zuying Luo, "Efficient statistical capacitance extraction of nanometer interconnects considering the on-chip line edge roughness," Microelectronics Reliability, 2012, 52(4): 704-710 [pdf] Z. Hao, S. X.-D. Tan, E. Tlelo-Cuautle, J. Relles, C. Hu, W. Yu, Y. Cai, and G. Shi, "Statistical extraction and modeling of inductance considering spatial correlation," Analog Integrated Circuits and Signal Processing, 2012, 73: 3-10 [pdf] [ICCCAS] Kuangya Zhai, Qingqing Zhang, Li Li, Wenjian Yu, "A 3-D parasitic extraction flow for the modeling and timing analysis of FinFET structures," in Proc. IEEE ICCCAS, Taichung, China, Aug. 2012, pp. 430-434. [pdf] [DATE]Yuanzhe Xu, Wenjian Yu, Quan Chen, Lijun Jiang, Ngai Wong, "Efficient variation-aware EM-semiconductor coupled solver for the TSV structures in 3D IC," in Proc. Design, Automation & Test in Europe Conference (DATE), Dresden, Germany, Mar. 2012, pp. 67-72. [pdf] [ASPDAC]Hao Zhuang, Wenjian Yu, Gang Hu, Zhi Liu, Zuochang Ye, "Fast floating random walk algorithm for multi-dielectric capacitance extraction with numerical characterization of Green’s functions," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Sydney, Australia, Jan. 2012, pp. 377-382. [pdf] 程康,叶佐昌,喻文健,”大规模RC网络的优化消去模型降阶算法”,计算机辅助设计与图形学学报,第24卷,第11期,pp. 1506-1512, 2012年。[pdf] 章涛,袁小龙,喻文健,“基于两重快速傅里叶变换的三维芯片热仿真”,计算机辅助设计与图形学学报,第24卷,第8期,pp. 1012-1019, 2012年 张青青,喻文健,骆祖莹,"圆柱形硅通孔的二维解析电容模型",第17届全国计算机辅助设计与图形学学术会议(CAD/CG'2012),山东青岛,2012年,pp. 522-526 (Best student paper candidate) 汤启明,喻文健,许静宇,孙海洋,"基于库查找的差分线网匹配检测算法",第17届全国计算机辅助设计与图形学学术会议(CAD/CG'2012),山东青岛,2012年,pp. 527-531 [TCPMT] Ling Zhang, Wenjian Yu, Yulei Zhang, et al., "Analysis and optimization of low power passive equalizers for CPU-memory links," IEEE Trans. Components, Packaging and Manufacturing Technology, Vol. 1, No. 9 pp.1406-1420, Sep. 2011 [pdf] [TVLSI] Ruijing Shen, Sheldon X.-D. Tan, Jian Cui, Wenjian Yu, Yici Cai and Gengsheng Chen, "Variational capacitance extraction and modeling based on orthogonal polynomial method," IEEE Trans. Very Large Scale Integration Systems, 2010, 18(11): 1556-1566 [pdf] Shan Zeng, Wenjian Yu, Xianlong Hong, and Chung-Kuan Cheng, "Efficient power network analysis with modeling of inductive effects," IEICE Trans. on Fundamentals, Vol. E93-A No. 6 pp.1196-1203, Jun. 2010 [pdf] [ASICON] Gang Hu, Wenjian Yu, Hao Zhuang, Shan Zeng, "Efficient floating random walk algorithm for interconnect capacitance extraction considering multiple dielectrics," Proc. International Conference on ASIC, Xiamen, China. Oct. 2011, pp. 896-899. [ASICON] Rubing Bai, Shan Zeng, Qingqing Zhang, Wenjian Yu, "An efficient solver for statistical capacitance extraction considering random process variations," Proc. International Conference on ASIC, Xiamen, China. Oct. 2011, pp. 602-605. [ASICON] Hao Zhuang, Wenjian Yu, Gang Hu, Zuochang Ye, "Numerical characterization of multi-dielectric Green’s function for floating random walk based capacitance extraction," Proc. International Conference on ASIC, Xiamen, China. Oct. 2011, pp. 361-364. [ASPDAC] Wenjian Yu, Chao Hu, and Wangyang Zhang, "Parallel statistical capacitance extraction of on-chip interconnects with an improved geometric variation model," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Yokohama, Japan, Jan. 2011, pp. 67-72. [pdf] [ppt] [ICCCAS] Weibing Gong, Wenjian Yu, Yongqiang Lu, et al., "A parasitic extraction method of VLSI interconnects for pre-route timing analysis," in Proc. IEEE ICCCAS, Chengdu, China, July 2010, pp. 871-875. [pdf] [ASPDAC] Wanping Zhang, Ling Zhang, Amirali Shayan, Wenjian Yu, Xiang Hu, Zhi Zhu, Ege Engin, Chung-Kuan Cheng, "On-chip power network optimization with decoupling capacitors and controlled-ESRs," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Taipei, Taiwan, Jan. 2010, pp. 119-124. [pdf] 胡超,喻文健,Sheldon Tan,”基于加权主元分析的统计互连寄生参数提取”,计算机辅助设计与图形学学报,第22卷,第11期,pp. 1990-1997, 2010年。[pdf] 胡超,喻文健,"面向统计互连电容提取的随机变动几何建模",第16届全国计算机辅助设计与图形学学术会议(CAD/CG'2010),山西太原,2010年7月,pp. 644-652 (Best student paper award) 刘志,戴挺,喻文健,"三维随机行走电容提取算法的实现",第16届全国计算机辅助设计与图形学学术会议(CAD/CG'2010),山西太原,2010年7月,pp. 699-706 [TCAD] Wanping Zhang, Wenjian Yu, Xiang Hu, Ling Zhang, Rui Shi, He Peng, Zhi Zhu, Lew Chua-Eoan, Rajeev Murgai, Toshiyuki Shibuya, Nuriyoki Ito, and Chung-Kuan Cheng, "Efficient power network analysis considering multidomain clock gating," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 28(9): 1348-1358, 2009 [pdf] Wenjian Yu, Rui Shi, and Chung-Kuan Cheng, "Accurate eye diagram prediction based on step response and its application to low-power equalizer design," IEICE Trans. on Electronics, Vol. E92-C, No. 4 pp.444-452, Apr. 2009 [pdf][package] Shan Zeng, Wenjian Yu, Jin Shi, Xianlong Hong, Chung-Kuan Cheng, "Efficient partial reluctance extraction for large-scale regular power grid structures," IEICE Trans. on Fundamentals, Vol. E92-A No. 6 pp.1479-1484, Jun. 2009 [pdf] [DAC] Wenjian Yu, Chao Hu, and Wangyang Zhang, "Variational capacitance extraction of on-chip interconnects based on continuous surface model," in Proc. Design Automation Conference (DAC), San Francisco, CA, USA, Jul. 2009, pp. 758-763. [pdf] [SLIP] Wanping Zhang, Wenjian Yu, Xiang Hu, et al., "Predicting the worst-case voltage violation in a 3D power network," in Proc. International Workshop on System Level Interconnect Prediction (SLIP), San Francisco, CA, USA, July 2009, pp. 93-98 [pdf] [ISQED] Shan Zeng, Wenjian Yu, Wanping Zhang, Jian Wang, Xianlong Hong, Chung-Kuan Cheng, "Efficient power network analysis with complete inductive modeling," in Proc. 10th International Symposium on Quality Electronic Design, San Jose, CA, USA, Apr. 2009, pp. 770-775 [DATE] A. Shayan, X. Hu, H. Peng, C.-K. Cheng, Wenjian Yu, M. Popovich, T. Toms, X. Chen, "Reliability aware through silicon via planning for 3D stacked ICs," in Proc. Design, Automation & Test in Europe Conference (DATE), Nice, France, Apr. 2009, pp. 288-291. [pdf] [ASPDAC] Wanping Zhang, Yi Zhu, Wenjian Yu, et al., "Noise minimization during power-up stage for a multi-domain power network," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Yokohama, Japan, Jan. 2009, pp. 391-396. [pdf] [TCAD] Wenjian Yu, Xiren Wang, Zuochang Ye, and Zeyi Wang, "Efficient extraction of frequency-dependent substrate parasitics using direct boundary element method," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 2008, 27(8): 1508-1513 [pdf] Wenjian Yu, Changhao Yan, and Zeyi Wang, "Fast multi-frequency extraction of 3-D impedance based on boundary element method," Microwave and Optical Technology Letters, 2008, 50(8): 2191-2197 [pdf] [ICCAD] Rui Shi, Wenjian Yu, Yi Zhu, Chung-Kuan Cheng, and Ernest S. Kuh, "Efficient and accurate eye diagram prediction for high speed signaling," in Proc. International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, Nov. 2008, pp. 655-661. [pdf][package] [ICSICT] Shan Zeng, Wenjian Yu, Fang Gong, Xianlong Hong, Jin Shi, Zeyi Wang, Chung-Kuan Cheng, "Efficient frequency-dependent reluctance extraction for large-scale power/ground grid," in Proc. ICSICT, Beijing, China, Oct. 2008, pp. 2292-2295 [pdf] [Hot'Inter] Ling Zhang, Wenjian Yu, Yulei Zhang, et. al, "Low power passive equalizer design for computer memory links," in Proc. the 16th Annual IEEE Symposium on High-Performance Interconnects (Hot Interconnects), CA, USA, Aug. 2008, pp. 51-56 [DAC] Ling Zhang, Wenjian Yu, Haikun Zhu, et. al, "Low power passive equalizer optimization using tritonic step response," in Proc. Design Automation Conference (DAC), Anaheim, CA, USA, Jun. 2008 pp. 570-573. [pdf] [GLSVLSI] Jian Cui, Gengsheng Chen, Ruijing Shen, Sheldon X.-D. Tan, Wenjian Yu and Jiarong Tong, "Variational capacitance modeling using orthogonal polynomial method," in Proc. ACM Great Lake Symposium of VLSI (GLSVLSI), Orlando, USA, May 2008, pp. 23-28. [DATE] Wanping Zhang, Yi Zhu, Wenjian Yu, et. al, "Finding the worst voltage violation in multi-domain clock gated power network," in Proc. Design, Automation & Test in Europe Conference (DATE), Munich, Germany, Mar. 2008, pp. 537-540. [pdf] [DATE] Wangyang Zhang, Wenjian Yu, Zeyi Wang, Zhiping Yu, Rong Jiang, and Jinjun Xiong, "An efficient method for chip-level statistical capacitance extraction considering process variations with spatial correlation," in Proc. Design, Automation & Test in Europe Conference (DATE), Munich, Germany, Mar. 2008, pp. 580-585. [pdf] [ISQED] Ling Zhang, Wenjian Yu, Haikun Zhu, Wanping Zhang, C.-K. Cheng, "Clock skew analysis via vector fitting in frequency domain," in Proc. 9th International Symposium on Quality Electronic Design, San Jose, CA, USA, Mar. 2008, pp. 476-479. [pdf] [ASPDAC] Fang Gong, Wenjian Yu, Zeyi Wang, Zhiping Yu, Changhao Yan, "Efficient techniques for 3-D impedance extraction using mixed boundary element method," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Seoul, Korea, Jan. 2008, pp. 158-163. [pdf] 郑蓝舟,喻文健,尹航,王泽毅,"芯片级三维寄生电容的并行提取算法",计算机辅助设计与图形学学报,第20卷,第11期,pp. 1396-1402, 2008年。[pdf] [TCAD] Zhuoyuan Li, Xianlong Hong, Qiang Zhou, Shan Zeng, Jinian Bian, Wenjian Yu, Hannah Yang, Vijay Pitchumani, Chung-Kuan Cheng, "Efficient thermal via planning approach and its application in 3D floorplanning," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 26(4): 645-658, 2007 [pdf] [EABE] Wenjian Yu, Changhao Yan, and Zeyi Wang, "A mixed surface integral formulation for frequency-dependent inductance calculation of 3D interconnects," Engineering Analysis with Boundary Elements, 2007, 31(10): 812-818 [pdf] Zuochang Ye, Wenjian Yu, and Zhiping Yu, "Analytical frequency-dependent model for transmission lines on RF-CMOS lossy substrates," Tsinghua Science and Technology, 2007, 12(6): 752-756 [pdf] [ASPDAC] Xiren Wang, Wenjian Yu, Zeyi Wang, "A new boundary element method for multiple-frequency parameter extraction of lossy substrates," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Yokohama, Japan, Jan. 2007, pp. 62-67. (Best paper candidate) [ASICON] Hao Zhang, Lilin Tian, Wenjian Yu, Zhiping Yu, "Monte Carlo simulation of Cu-resistivity considering size-effects," in Proc. 7th International Conference on ASIC, Guilin, China. Oct. 2007, 1146-1149. 曾姗,喻文健,张梦生,洪先龙,王泽毅ﺿVLSI互连线频变K参数和频变电阻的有效提取算法",电子学报,第35卷,第11期,pp. 2072-2077, 2007年。[pdf] 巩方,喻文健,严昌浩,王泽毅,“三维互连阻抗的混合边界积分方程提取算法”,计算机辅助设计与图形学学报,第19卷,第10期,pp. 1252-1258, 2007年。[pdf] 曾姗,喻文健,杜宇,洪先龙,王泽毅,“局部K参数模拟方法的稳定性证明”,计算机辅助设计与图形学学报,第19卷,第12期,pp. 1517-1521, 2007年 [TCAD] Xiren Wang, Wenjian Yu, and Zeyi Wang, "Efficient direct boundary element method for resistance extraction of substrate with arbitrary doping profile," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 2006, 25(12): 3035-3042. [pdf] [TMTT] Zuochang Ye, Wenjian Yu, and Zhiping Yu, "Efficient 3D capacitance extraction considering lossy substrate with multi-layered Green's function," IEEE Trans. Microwave Theory Tech., Vol. 54, No. 5, pp. 2128-2137, May 2006. [pdf] [TCAD] Wenjian Yu, Mengsheng Zhang and Zeyi Wang, "Efficient 3-D extraction of interconnect capacitance considering floating metal-fills with boundary element method," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., Vol. 25, No. 1, pp. 12-18, Jan. 2006. [pdf] [EABE] Changhao Yan, Wenjian Yu and Zeyi Wang, "Application of the complete multiple reciprocity method for 3D impedance extraction with multiple frequency points," Engineering Analysis with Boundary Elements, 2006, 30(8): 640-649. [pdf] Xiren Wang, Wenjian Yu, and Zeyi Wang, Analytical-BEM coupling method for fast 3-D interconnect resistance extraction," Frontiers of Electrical and Electronic Engineering in China, Springer-Verlag Press and Higher Education Press, Vol. 1, No. 2, pp. 239-243, Apr. 2006. [pdf] [ICSICT] Shan Zeng, Wenjian Yu, Xianlong Hong, Zeyi Wang, "An efficient 3D reluctance extractor for on-chip interconnects," the 8th International Conference on Solid-State and Integrated Circuit Technology, Oct. 2006, pp. 357-359 [ICSICT] Wangyang Zhang, Wenjian Yu, Hong Liu, Zeyi Wang, "Hierarchical h-adaptive computation of VLSI interconnect capacitance with QMM acceleration," the 8th International Conference on Solid-State and Integrated Circuit Technology, Oct. 2006, pp. 1438-1440 [ICSICT] Lanzhou Zheng, Wenjian Yu, and Zeyi Wang, "Hierarchical block boundary element method for substrate resistance calculation," the 8th International Conference on Solid-State and Integrated-Circuit Technology, Oct. 2006, pp. 2095-2097 [ICSICT] Wenjian Yu, Lei Zhang, Xiren Wang, and Zeyi Wang, "An incremental boundary element method for the variation-aware library-building procedure of capacitance extraction," the 8th International Conference on Solid-State and Integrated Circuit Technology, Oct. 2006, pp. 1435-1437 [ISQED] Changhao Yan, Wenjian Yu, and Zeyi Wang, "A mixed boundary element method for extracting frequency-dependent inductances of 3D interconnects," in Proc. 7th International Symposium on Quality Electronic Design, San Jose, CA, USA, Mar. 2006, pp. 709-714. (Best paper candidate) [ASPDAC] Mengsheng Zhang, Wenjian Yu, Yu Du and Zeyi Wang, "An efficient algorithm for 3-D reluctance extraction considering high frequency effect," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Yokohama, Japan, Jan. 2006, pp. 521-526. [pdf] [ASPDAC] Changhao Yan, Wenjian Yu, Zeyi Wang, "Calculating frequency-dependent inductance of VLSI interconnect by complete multiple reciprocity boundary element method," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Yokohama, Japan, Jan. 2006, pp. 844-849. [ASPDAC] Xiren Wang, Wenjian Yu, Zeyi Wang, "A new boundary element method for accurate modeling of lossy substrates with arbitrary doping profiles," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Yokohama, Japan, Jan. 2006, pp. 683-688. [pdf] 尹航,喻文健,陆涛涛,王泽毅,“重叠组合法的芯片级三维寄生电容提取及其并行实现”,计算机辅助设计与图形学学报,第18卷,第2期,pp. 238-244, 2006年。[pdf] 张雷,王习仁,喻文健,王泽毅,“工艺参数变动下的三维互连电容快速模式建库方法”,计算机辅助设计与图形学学报,第18卷,第12期,pp. 1837-1843,2006年 Xiren Wang, Deyan Liu, Wenjian Yu and Zeyi Wang, "Improved boundary element method for fast 3-D interconnect resistance extraction," IEICE Trans. on Electronics, Vol. E88-C, No.2, pp.232-240, Feb. 2005.[pdf] [SISPAD] Hongchuan Wei, Wenjian Yu and Zeyi Wang, "A fast algorithm for 3-D inductance extraction based on investigation of open-circuit current," International Conference on Simulation of Semiconductor Processes and Devices, Tokyo, Japan, Sep. 2005, pp. 203-206 [GLSVLSI] Xiren Wang, Wenjian Yu and Zeyi Wang, "An improved direct boundary element method for substrate coupling resistance extraction," in Proc. ACM Great Lake Symposium of VLSI (GLSVLSI), Chicago, USA, Apr. 2005, pp. 84-87 [ASPDAC] Xiren Wang, Wenjian Yu and Zeyi Wang, "Substrate resistance extraction with direct boundary element method," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Shanghai, China, Jan. 2005, pp. 208-211 喻文健,“互连线电容提取技术”,计算机世界报,2005年10月24日,第41期,B10-11。[pdf] 魏洪川,喻文健,杨柳,王泽毅,“基于K参数思想的快速三维互连电感电阻提取算法”,电子学报,第33卷,第8期,pp. 1365-1369,2005年[pdf] 魏洪川,喻文健,王泽毅,“快速计算频变互连电感电阻的加权平均法”,半导体学报,第26卷,第4期,pp. 846-850,2005年[pdf] 李漓,喻文健,王泽毅,洪先龙,“模拟集成电路三维互连电容的改进层次式提取”,计算机辅助设计与图形学学报,第17卷,第4期,pp. 651-656, 2005年[pdf] 张梦生,喻文健,王泽毅,“考虑填充哑元的有效三维互连电容提取与分析”,电子学报,第33卷,第4期,pp. 667-670, 2005年。 [EABE] Wenjian Yu, Zeyi Wang and Xianlong Hong, "Preconditioned multi-zone boundary element analysis for fast 3D electric simulation," Engineering Analysis with Boundary Elements, 2004, 28(9): 1035-1044. [pdf] [TMTT] Wenjian Yu, Zeyi Wang, "Enhanced QMM-BEM solver for 3-D multiple-dielectric capacitance extraction within finite domain," IEEE Trans. Microwave Theory Tech., 2004, 52(2): 560-566. [pdf][package] [TMTT] Taotao Lu, Zeyi Wang and Wenjian Yu, "Hierarchical block boundary-element method (HBBEM): a fast field solver for 3-D capacitance extraction," IEEE Trans. Microwave ,Theory Tech., 2004, 52(1): 10-19. [pdf] [ICSICT] Hong Liu, Wenjian Yu and Zeyi Wang, "Efficient 3-D interconnect capacitance extraction based on direct solving techniques for system with multiple right-hand sides," International Conference on Solid-State and Integrated-Circuit Technology (ICSICT), Oct. 2004, pp. 1042-1045 [ASPDAC] Xiren Wang, Deyan Liu, Wenjian Yu and Zeyi Wang, "Fast and accurate extraction of 3-D interconnect resistance: Improved quasi-multiple medium accelerated BEM method," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Yokohama, Japan, Jan. 2004, pp. 707-709 [ICCCAS] Wenjian Yu, Li Li, Zeyi Wang and Xianlong Hong, "Improved 3-D hierarchical interconnect capacitance extraction for the analog integrated circuit," International Conference on Communications, Circuits and Systems, June 2004, pp. 1305-1309 [ICCCAS] Xiaobo Guo, Wenjian Yu and Zeyi Wang, "A boundary integral equation model for extracting frequency-dependent impedance of 3-D interconnects in VLSI," International Conference on Communications, Circuits and Systems, June 2004, pp. 1315-1319 [ICCCAS] Hong Liu, Wenjian Yu and Zeyi Wang, "An efficient interconnect capacitance extractor using a blocked equation solving technique," International Conference on Communications, Circuits and Systems, June 2004, pp. 1310-1314 王玉刚,喻文健,陆涛涛,王泽毅,“三维互连电容提取中复杂形体的通用几何处理方法”,计算机辅助设计与图形学学报,第16卷,第12期,pp. 1625-1630, 2004年[pdf] 王习仁,喻文健,王泽毅,“三维互连电阻解析与边界元耦合提取方法”,清华大学学报,第44卷,第9期,pp. 1277-1281, 2004年[pdf] 喻文健,王泽毅,王玉刚等,“一种可适应复杂互连电容结构的边界元形体处理方法”, 半导体学报,第25卷,第2期,pp. 214-220, 2004年[pdf] [TMTT] Wenjian Yu, Zeyi Wang, Jiangchun Gu, "Fast capacitance extraction of actual 3-D VLSI interconnects using quasi-multiple medium accelerated BEM," IEEE Trans. Microwave Theory Tech., 2003, 51(1): 109-120. [pdf] [CAM] Wenjian Yu, Zeyi Wang, "A fast quasi-multiple medium method for 3-D BEM calculation of parasitic capacitance," Computers & Mathematics with Applications, 2003, 45(12): 1883-1894. (cited by Mathematical Reviews) [pdf] [ICCD] Wenjian Yu, Zeyi Wang and Xianlong Hong, "Enhanced QMM-BEM solver for 3-D finite-domain capacitance extraction with multilayered dielectrics", IEEE 21st International Conference on Computer Design, USA, Oct. 2003, pp. 58-63 [ASICON] Xiren Wang, Wenjian Yu, Deyan Liu, Zeyi Wang, "Fast extraction of 3-D interconnect resistance: numerical-analytical coupling method," Proc. 5th International Conference on ASIC, Beijing, China. Oct. 2003, pp. 315-318 喻文健,王泽毅,“三维VLSI互连寄生电容提取的研究进展”,计算机辅助设计与图形学学报,第15卷,第1期,pp. 21-28, 2003年[pdf] 刘徳彦,喻文健,王泽毅,“复杂3-D寄生电容器的虚拟多介质切割”,计算机辅助设计与图形学学报,第15卷,第2期,pp. 180-186, 2003年[pdf] [ASPDAC] Wenjian Yu, Zeyi Wang, "An efficient quasi-multiple medium algorithm for the capacitance extraction of actual 3-D VLSI interconnects," in Proc. Asia South Pacific Design Automation Conference (ASPDAC), Yokohama, Japan, Jan. 2001, pp. 366-371. (Best paper candidate) 陆涛涛,喻文健,王泽毅,“保形(Conformal)结构互连电容的BEM模拟”,计算机辅助设计与图形学学报,第13卷,第8期,pp. 684-689, 2001年[pdf] 喻文健,王泽毅,侯劲松,“一种快速的三维VLSI互连电容提取方法:虚拟多介质方法”,电子学报,第29卷,第11期,pp. 1526-1529, 2001年 [ICSLP] Fang Zheng, Zhanjiang Song, Ling Li, Wenjian Yu, Fengzhou Zheng, Wenhu Wu, “The distance measure for line spectrum pairs applied to speech recognition,” in International Conference on Spoken Language Processing (ICSLP’98), 3: 1123-1126, Nov. 30, 1998, Sydney, Australia.

推荐链接
down
wechat
bug