当前位置: X-MOL首页全球导师 国内导师 › 喻之斌

个人简介

招生专业 081203-计算机应用技术 招生方向 多核体系结构,通用GPU体系结构,大数据体系结构,云计算 教育背景 2003-09--2008-12 华中科技大学计算机学院 工学博士 1997-09--2000-06 华中科技大学 工学硕士 1990-09--1994-06 湖北工业大学 工学学士 工作简历 2012-10~现在, 中国科学院深圳先进技术研究院, 研究员 副所长 2012-01~2012-05,比利时根特大学, 博士后研究员 2010-11~2012-01,意大利锡耶纳大学, 博士后研究员 2009-01~2010-02,美国德克萨斯大学奥斯汀分校, 访问学者 2000-07~2012-09,华中科技大学, 助教,讲师,副教授,院长助理,党支部组织委员 1994-07~1997-08,湖北伶俐工贸有限公司, 技术员 技术副厂长 教授课程 计算机体系结构 高等计算机体系结构 计算机系统结构 高等计算机系统结构 云计算原理与应用 专利与奖励 1. 2014年获深圳市孔雀B类人才称号 奖励信息 (1) 中科院朱李月华优秀教师奖, 特等奖, 院级, 2019 (2) 中兴通讯CoCloud云计算创新解决方案及产业化应用, 一等奖, 专项, 2014 (3) 并行体系结构模拟加速论文, 三等奖, 省级, 2014 (4) 最佳论文奖, , 其他, 2011 (5) 指导学生获湖北省优秀学士学位论文奖, 二等奖, 省级, 2008 (6) 华中科技大学本科教学评估突出贡献奖, 特等奖, 研究所(学校), 2007 (7) 华中科技大学青年教师教学竞赛一等奖, 一等奖, 研究所(学校), 2004 (8) 华中科技大学教学质量奖, 二等奖, 研究所(学校), 2003 (9) 华中科技大学青年教师教学竞赛奖, 二等奖, 研究所(学校), 2002 专利成果 ( 1 ) 一种基于众核的多线程极限能耗测试源程序自动生成框架, 发明, 2012, 第 1 作者, 专利号: 201210589427.0 ( 2 ) 多核处理器软错误压力测试程序生成系统及方法, 发明, 2012, 第 1 作者, 专利号: 201210584290.X ( 3 ) 一种基于采样的多核模拟并行加速方法, 发明, 2012, 第 1 作者, 专利号: 201210589507.6 ( 4 ) 一种云端应用程序的参数配置方法及系统, 发明, 2014, 第 3 作者, 专利号: CN201410844367.1 ( 5 ) 一种在线配置Hadoop参数的方法和装置, 发明, 2014, 第 2 作者, 专利号: CN201310672010.5 ( 6 ) 一种基于采样的多核模拟并行加速方法, 发明, 2012, 第 1 作者, 专利号: CN201210589507.6 ( 7 ) 一种在线管理Hadoop集群资源的方法和装置, 发明, 2013, 第 2 作者, 专利号: CN201310646044.7 ( 8 ) 一种停车场车位的导航方法、装置及系统, 发明, 2014, 第 4 作者, 专利号: CN201410692691.6 ( 9 ) 一种基于多阶段特征的MapReduce作业细粒度分类方法, 发明, 2014, 第 2 作者, 专利号: CN201410836410.X ( 10 ) 一种任务作业处理方法、装置及系统, 发明, 2013, 第 3 作者, 专利号: CN201310723002.9 ( 11 ) 一种数据保护系统, 发明, 2013, 第 4 作者, 专利号: CN201310656646.0 ( 12 ) 一种基于临时代理的虚拟机访问系统及服务器, 发明, 2015, 第 3 作者, 专利号: CN201510033277.9 ( 13 ) 基于CPU‑GPU异构集群的大数据一体机实现方法, 发明, 2014, 第 2 作者, 专利号: CN201310670374.X ( 14 ) 数据展示方法及系统, 发明, 2014, 第 5 作者, 专利号: CN201410362449.2 ( 15 ) 一种在线存储共享方法和系统, 发明, 2013, 第 2 作者, 专利号: CN201310656701.6 ( 16 ) 一种云计算系统中虚拟机的性能预测方法及系统, 发明, 2014, 第 2 作者, 专利号: CN201410842053.8 ( 17 ) 一种云计算系统中虚拟机的性能预测方法及系统, 发明, 2014, 第 2 作者, 专利号: CN201410842053.8 ( 18 ) 一种云计算系统中虚拟机的性能预测方法及系统, 发明, 2014, 第 2 作者, 专利号: CN201410842053.8 ( 19 ) 一种云计算系统中虚拟机的性能预测方法及系统, 发明, 2014, 第 2 作者, 专利号: CN201410842053.8 ( 20 ) 一种数据访问控制方法及系统, 发明, 2013, 第 4 作者, 专利号: CN201310684938.5 ( 21 ) 基于android平台的APK软件自动测试方法及系统, 发明, 2014, 第 5 作者, 专利号: CN201410440294.X ( 22 ) 基于GPGPU体系结构的协同调度方法及系统, 发明, 2015, 第 2 作者, 专利号: CN201510063714.1 ( 23 ) 一种基于机器学习的CPU与GPU异构SoC性能刻画方法, 发明, 2018, 第 1 作者, 专利号: CN201811495369.9 ( 24 ) 人脸识别系统及方法, 发明, 2018, 第 2 作者, 专利号: CN201811541785.8 ( 25 ) 一种大数据处理平台配置的自动优化方法, 发明, 2019, 第 2 作者, 专利号: CN201911234883.1 ( 26 ) 一种基于异构资源的Spark任务调度方法, 发明, 2019, 第 3 作者, 专利号: CN201911261592.1 ( 27 ) 一种任务调度模拟系统, 发明, 2018, 第 1 作者, 专利号: CN201811535124.4 ( 28 ) 一种在微体系结构层面表征区块链系统的方法和装置, 发明, 2019, 第 2 作者, 专利号: CN201910923005.4 ( 29 ) 一种基于docker的云测试方法、系统及电子设备, 发明, 2019, 第 2 作者, 专利号: CN201911017534.4 ( 30 ) 一种选取区块链基准测试程序性能评价指标的方法和系统, 发明, 2019, 第 3 作者, 专利号: CN201910933759.8 ( 31 ) 一种多线程数据处理方法、加速器及系统, 发明, 2019, 第 3 作者, 专利号: CN201910919917.4 ( 32 ) 一种具有自适应配置生成器的调参方法和系统, 发明, 2019, 第 1 作者, 专利号: CN201910862422.2 ( 33 ) 一种基于机器学习的集群配置自动优化方法和系统, 发明, 2019, 第 2 作者, 专利号: CN201910830574.4 ( 34 ) 一种利用强化学习进行大数据平台调参的方法和系统, 发明, 2019, 第 2 作者, 专利号: CN201910785445.8 ( 35 ) 一种分布式资源管理框架的多层次架构和管理方法, 发明, 2019, 第 2 作者, 专利号: CN201910767009.8 ( 36 ) 基于通讯板的可移动边缘计算一体机及其应用, 发明, 2019, 第 1 作者, 专利号: CN201910612973.3 ( 37 ) 一种全新服务器之间的内存借用策略, 发明, 2018, 第 2 作者, 专利号: CN201811580976.5 ( 38 ) Docker集群的内存配置方法、存储介质、计算机设备, 发明, 2018, 第 2 作者, 专利号: CN201811442958.0 ( 39 ) 容器的网络带宽的调节方法、计算机设备及可读存储介质, 发明, 2018, 第 2 作者, 专利号: CN201811394151.4 发表著作 (1) 计算系统虚拟化-原理与应用, System Virtualization - Principles and Applications, 清华大学出版社, 2008-12, 第 3 作者 (2) 分布式与云计算 - 从并行处理到物联网, Distributed and Cloud Computing – From Parallel Processing to the Internet of things, Morgan Kaufmann, 2012-02, 第 3 作者 科研项目 ( 1 ) 海云大数据系统关键技术研究, 参与, 部委级, 2012-07--2016-12 ( 2 ) 云计算检验检测平台, 参与, 国家级, 2011-07--2015-12 ( 3 ) 基于云计算的国际大宗商品交易系统关键技术研究, 主持, 省级, 2015-01--2017-12 ( 4 ) 大规模数据中心能耗可扩展理论研究, 参与, 国家级, 2012-01--2016-12 ( 5 ) 软件定义云计算基础理论与方法研究, 参与, 国家级, 2016-01--2020-12 ( 6 ) 可敏捷定制的智能视觉处理器及系统应用, 主持, 省级, 2020-01--2022-12 ( 7 ) 新一代通信通信项目-基于鲲鹏及昇腾的全栈智能大数据平台, 主持, 国家级, 2019-08--2021-07 参与会议 (1)BBS: Micro-architecture Benchmarking Blockchain Systems through Machine Learning and Fuzzy Set 第26届IEEE高性能计算机体系结构国际研讨会 2020-02-25 (2)TPShare: A Time-Space Sharing Scheduling Abstraction for Shared Cloud via Vertical Labels 第46届计算机体系结构国际研讨会 2019-06-21 (3)CounterMiner: Mining Big Performance Data from Hardware Counters 第51届IEEE / ACM微体系结构国际研讨会 2018-10-21 (4)The Elasticity and Plasticity in Semi-Containerized Co-locating Cloud Workload: a View from Alibaba Trace IEEE国际系统芯片会议 2018-10-11 (5)DAC: Datasize-Aware High Dimensional Configurations Auto-Tuning of In-Memory Cluster Computing 第23届ACM编程语言和操作系统体系结构支持国际会议 2018-03-21 (6)Two-Level Hybrid Sampled Simulation of Multi-threaded Applications HiPEAC 2016:第11届高性能嵌入式架构和编译器国际会议 2016-01-17

研究领域

Computer Architecture, Performance evaluation of Computer Systems, Architecture supported cloud computing, big data analysis, and edge computing.

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

(1) 通过机器学习和模糊集方法在微体系结构层面表征区块链系统, BBS:Micro-architecture Benchmarking Blockchain Systems through Machine Learning and Fuzzy Set, :International Symposium on High-Performance Computer Architecture, 2020, 通讯作者 (2) 一种用于绿色电源中心的极具成本效益的电源备份方案, COPA: Highly Cost-Effective Power Back-up for Green Datacenters, IEEE Transactions on Parallel and Distributed Systems (TPDS), 2019, 通讯作者 (3) MiC: Multi-level Characterization and Optimization of GPGPU kernels, ACM Journal on Emerging Technologies in Computing Systems, 2019, 通讯作者 (4) Thread-Level Locking for SIMT Architectures, IEEE Transactions on Parallel and Distributed Systems (TPDS), 2019, 第 5 作者 (5) Adaptive Memory-Side Last-Level GPU Caching, in proceedings of the 46th by ACM Symposium on Computer Architecture (ISCA), 2019, 第 3 作者 (6) 一种时空共享的任务调度抽象, TPSahre: A Time-Space Sharing Scheduling Abstraction for Shared Cloud via Vertical Labels, in proceedings of International Symposium on Computer Architecture (ISCA), 2019, 第 5 作者 (7) 数据感知的内存大数据高维配置参数优化, Datasize-Aware High Dimensional Configurations Auto-tuning of In-Memory Cluster Computing, proceedings of the 23rd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2018, 第 1 作者 (8) 性能大数据挖掘研究, CounterMiner: Mining Big Performnce Data from Hardware Counters, in proceedings of International Symposium on Microarchitecture (MICRO), 2018, 第 5 作者 (9) The Elasticity and Plasticity in Semi-Containerized Co-locating Cloud Workload: a View from Alibaba Trace, in proceedings of International Symposium on Cloud Computing (SoCC), 2018, 第 2 作者 (10) MIA: Metric Importance Analysis for Big Data Workload Characterization, IEEE Transactions on Parallel and Distributed Systems (TPDS), 2017, 第 1 作者 (11) BACM: Barrier-Aware Cache Management for Irregular Memory-Intensive GPGPU Workloads, proceedings of IEEE International Conference on Computer Design (ICCD 2017), 2017, 第 3 作者 (12) Configuring In-memory Cluster Computing Using Random Forest, Future Generation Computer Systems, 2017, 通讯作者 (13) QIG: Quantifying the Importance and Interaction of GPGPU Architecture Parameters, IEEE Transactions on Computer-Aided Design of Integrated Circuits And System (TCAD), 2017, 第 1 作者 (14) ATH: Auto-Tuning HBase’s Configuration via Ensemble Learning, IEEE Access, 2017, 通讯作者 (15) MEST: A Model-driven Efficient Searching Approach for MapReduce Self-Tuning, IEEE access, 2017, 通讯作者 (16) 对多线程程序的二层次混合采样模拟, Two-Level Htbrid Sampled Simulation of Multi-Threaded Applications, ACM Transactions on Architecture and Code Optimization, 2016, 通讯作者 (17) Barrier-Aware Warp Scheduling for Throughput Processors, Proceedings of International Conference on Supercomputing, 2016, 通讯作者 (18) QIM: Quantifying Hyperparameter Importance for Deep Learning, IFIP International Conference on Network and Parallel Computing, 2016, 通讯作者 (19) Thread Similarity Matrix: Visualizing Branch Divergence in GPGPU programs, Proceedings of International Conference in Parallel Processing, 2016, 第 1 作者 (20) SZTS: A Novel Big Data Transportation System Benchmark Suite, proceedings of International Conference on Parallel Processing(ICPP2015), 2015, 通讯作者 (21) Shorter On-Line Warmup for Sampled Simulation of Multi-Threaded Applications, Proceedings of International Conference on Parallel Processing (ICPP2015), 2015, 通讯作者 (22) RFHOC A Random-Forest Approach to Auto-Tuning Hadoop Configuration, IEEE Transactions on Parallel and Distributed Systems, 2015, 通讯作者 (23) GPGPU-MiniBench Accelerating GPGPU Micro-Architecture Simulation, IEEE Transactions on Computers, 2015, 第 1 作者 (24) PCantorSim: Accelerating Parallel Architecture Simulation through Fractal-based Sampling, ACM Transactions on Architecture and Code Optimization, 2014, 通讯作者 (25) Accelerating Simulation for GPGPU Architecture, Proceedings of SIGMETRICS2013 (顶级国际会议), 2013, 第 1 作者 (26) FractalMRC: An Online Cache Miss Rate Curve Generating Approach for Commodity Systems, Proceedings of IPDPS2012 (顶级国际会议), 2013, 通讯作者 (27) Hierarchically Characterization CUDA program Behavior, Proceedings of IISWC2011(重要国际会议), 2011, 第 1 作者 (28) MT-Profiler: A Parallel Dynamic Analysis Framework based on Two-Stage Sampling, Proceedings of APPT2011, 2011, 第 1 作者 (29) 计算系统的能耗瓶颈, 10000个科学难题 ? 信息科学卷, 2011, 第 1 作者 (30) System-level Max Power (SYMPO) - A systematic approach for escalating system-level power consumption using synthetic benchmarks, Proceedings of PACT 2010(顶级国际会议), 2010, 第 2 作者 (31) CantorSim: Simplifying Acceleration of Micro-architecture Simulation, Proceedings of MASCOTS2010(顶级国际会议), 2010, 第 1 作者 (32) Simple and Fast Micro-architecture Simulation---A Trisection Cantor Fractal Approach, Proceedings of SIGMETRICS2009(顶级国际会议), 2009, 第 1 作者 (33) TSS: Applying Two-Stage Sampling in Micro-architecture Simulations, Proceedings of MASCOTS2009(顶级国际会议), 2009, 第 1 作者 (34) 计算机体系结构软件模拟技术, 软件学报, 2008, 第 1 作者

学术兼职

2019-12-31-今,ISCA 2020, ERC成员 2019-01-01-今,ICS2019, ERC 成员 2017-10-06-2018-06-15,ICS2018, PC 2017-06-09-2018-02-10,HPCA2018, ERC 2016-03-01-今,PACT 2016, ERC 2014-10-01-2015-06-16,ISCA 2015, ERC 成员 2014-07-01-2015-02-28,HPCA 2015, ERC 成员 2014-06-01-2014-12-31,MICRO 2014, ERC 成员 2013-07-01-2014-02-28,HPCA 2014, 出版主席 2012-10-01-2013-06-30,ISCA 2013, 宣传主席 2012-07-01-2013-02-28,HPCA 2013, 出版主席

推荐链接
down
wechat
bug