当前位置: X-MOL首页全球导师 国内导师 › 杨海钢

个人简介

招生专业 080903-微电子学与固体电子学 085209-集成电路工程 招生方向 可编程逻辑系统与FPGA芯片设计技术; 芯片集成系统(SoC); 大规模集成电路设计(VLSI Design); 射频与混合信号集成电路(IC)设计; 电子设计自动化(EDA)。 教育背景 1988-01--1991-04 英国剑桥大学 博士学位 1983-09--1986-07 复旦大学 硕士学位 1979-09--1983-07 复旦大学 学士学位 学习经历 1983年毕业于复旦大学物理系,获学士学位; 1986年在复旦大学电子工程系获硕士学位; 1988年获英国海外研究生奖学金和剑桥大学Barclays Scholarship,赴剑桥大学工程系与Emmanuel College攻读博士,于1991年获PhD。 海外工作经历 1991年至2004年间,曾先后在英国剑桥大学、Wolfson Microelectronics 公司、美国LSI Logic公司欧洲研发中心、日本Hitachi Microsystems公司欧洲研发中心、美国Altera公司(现Intel PSG)欧洲研发中心任职,为集成电路设计主任工程师、技术总监。 目前工作情况 现为中国科学院电子学研究所博士生导师,研究员,可编程芯片与系统研究室主任; 中国科学院特聘教授; 中国科学院大学岗位教授及微电子学院可编程芯片与微系统教研室主任; 中科院知识创新工程重大方向项目“FPGA芯片研制”首席科学家,中科院/国家外专局创新国际团队 “片上可编程系统前沿技术研究”负责人,国家863计划信息技术领域主题项目“数模混合可编程片上系统研发”首席专家,北京市科技计划课题“基于卷积神经网络的可重构类脑计算芯片研发”负责人。 教授课程 极低噪声混合信号MEMS接口芯片设计 可编程逻辑系统设计与FPGA技术 可编程芯片与系统前沿技术概论 专利与奖励 获美国发明专利授权1项,中国发明专利授权77项,受理27项; 获2010年国务院政府特殊津贴奖励; 获2013年中国电子学会科学技术二等奖(“大规模可编程逻辑电路关键技术研究”),第一完成人 代表性授权专利成果: 陈锐,王飞,杨海钢,“一种基于自动布线互连网络的粗粒度可重构阵列电路”,中国专利 #201310731152.4,2018-7-20 朱渊明,刘飞,杨海钢,“一种分级共享式双通道流水线型模数转换器”,中国专利 #201310737472,2018-5-1 杨海钢,辛福彬,刘飞,尹韬,杨元龙,“时间交织Pipeline-SAR型ADC电路”,中国专利 #201510462935.6,2017-11-10 杨海钢,贾瑞,陈锐,林郁,王新刚,郭珍红,“基于资源共享的低开销多标准8×8一维离散余弦变换电路”,中国专利 #201510195893.4,2017-11-3 杨海钢,辛福彬,刘飞,尹韬,杨元龙,“逐次逼近型模数转换器”,中国专利 #201510463301.2,2017-9-29 杨海钢,黄俊英,林郁,崔秀海,罗杨,王飞,“一种用于集成电路布局的加速型模拟退火方法”,中国专利 #201310645831.X 2017-9-29 胡凯,杨海钢,徐春雨,曾宪理,王德利,“一种现场可编程门阵列逻辑代码的下载装置”,中国专利 #201410074743.3,2017-5-24 杨海钢,黄志洪,陈柱佳,张丹丹,李威,高丽江,杨立群,“一种包含可定制熔丝配置模块的可编程逻辑电路”,中国专利 #201310068752.7,2017-3-29 杨海钢,李天文,蔡刚,秋小强,“一种抗单粒子翻转与瞬态效应延时可调锁存器”,中国专利 #201310188754.X,2017-3-29 杨海钢,周发标,秋小强,王飞,“一种基于配置词典的FPGA测试配置分析评价方法”,中国专利 #201110161031.1,2017-3-22 王新刚,杨海钢,“一种时间数字转换器”,中国专利 #201210211299.6,2016-9-28 杨海钢,李威,高丽江,“具有逻辑运算和数据存储功能的可编程功能产生单元”,中国专利#201310155817.1,2016-9-9 杨海钢,李威,高丽江,“可编程信号处理单元”,中国专利 #201310432190.X,2016-7-6 杨海钢,贾瑞,王飞,陈锐,王新刚,林郁,“有限冲击响应CSD滤波器”,中国专利 #201410014200.2,2016-7-6 杨海钢,程小燕,吴其松,朱文锐,尹韬,“对传感器信号处理的可编程的模拟单元”, 中国专利 #201310044927.0,2015-12-2 杨海钢,程心,高同强,“一种前馈型AGC装置”,中国专利#201110402363.4,2015-11-18 杨海钢,秋小强,“一种适用于可编程存储器的递进式译码器”,中国专利#201010594964.5,2015-9-9 杨海钢,陈柱佳,“一种数字延时锁定环电路”,中国专利 #201110252450.6,2015-6-3 高同强、杨海钢,“多频段无线移动通信系统中频带可重构的功率放大器电路”,中国专利 #200910081998.1,2012-12-12 杨海钢,张翀,尹韬,吴其松,“一种带温度补偿的高精度电容读出电路”,中国专利#200910241537.6,2012-7-4 杨海钢,孙嘉斌,“一种具有静电防护结构的集成电路”,中国专利 #200710064597.6,2010-4-21 杨海钢,李兴政,“可编程逻辑器件的对称型连线通道”,中国专利 #200510086897.5,2009-6-3 Haigang Yang, Altera Corporation (San Jose, CA), “Self-tracking integrated differential termination resistance”, US Patent #6,590,413, July 8, 2003 已发表学术论文250多篇(包括在国际著名专业文献IEEE Journal of Solid State Circuits, IEEE Sensors Journal, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, IEEE Transactions on Nuclear Science, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Electronics Letters等) 科研活动 具有近30年从事微电子集成电路与系统设计经验(其中十六年国外专业技术研发经历); 目前在中科院电子所,从事大规模高可靠FPGA(现场可编程门阵列)芯片与EDA软件系统研发、以及面向人工智能应用的类脑计算FPGA芯片技术研究、高能效计算加速FPGA芯片技术研究; 主持和承担国家科技重大专项、自然科学基金、863、973、中科院重要方向和重大仪器研制、北京市科技研发计划“脑认知与类脑计算”专项等课题。

研究领域

微电子学与集成电路技术: - 面向高能效计算的新架构FPGA芯片; - FPGA神经网络处理器; - FPGA综合、映射、布局布线算法与系统; - 高速数据接口及锁相环数模混合集成电路设计技术; - 抗空间单粒子效应的深亚微米超大规模集成电路设计理论与实现; - 极低噪声MEMS传感器模拟接口前端芯片设计,涉及微弱脑电信号检测、低功耗无线传输等。

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

Xinkai Di , Hai-Gang Yang, Yiping Jia, Zhihong Huang and Ning Mao, "Exploring Efficient Acceleration Architecture for Winograd-Transformed Transposed Convolution of GANs on FPGAs", Electronics, Vol.9 Issue 2, 2020, pp.286-295 https://www.mdpi.com/2079-9292/9/2/286?from=singlemessage&isappinstalled=0 Xing Wei, Haigang Yang, Wei Li, Zhihong Huang, Tao Yin, Le Yu, "A Reconfigurable 4-GS/s Power-Efficient Floating-Point FFT Processor Design and Implementation Based on Single-Sided Binary-Tree Decomposition", Integration, the VLSI Journal, Vol.66, May 2019, pp.164-172 https://www.sciencedirect.com/science/article/pii/S0167926018305017?via%3Dihub Guocheng Huang, Tao Yin, Haigang Yang, Xinxia Cai, "A 0.8 μVRMS 8-channel front-end for EEG recording", Analog Integrated Circuits and Signal Processing, Volume 99 Issue 2, May 2019, https://rdcu.be/bmtsW Guo-Cheng Huang, Hai-Gang Yang, Tao Yin, Xiao-Dong Xu and Yuan-Ming Zhu, "A Sub-1 V Temperature-Insensitive-PSR Bandgap Reference with Complementary Loop Locking", Journal of Circuits, Systems, and Computers, Vol.28 No.3, 2019, pp.1950047-1~15 Tao Yin, Guocheng Huang, Xiaodong Xu, Yachao Zhang, Xinxia Cai and Haigang Yang, "790 nW Low-Noise Instrumentation Amplifier for Bio-Sensing Based On Gm-RSC Structure", Journal of Circuits, Systems, and Computers, Vol. 27 No. 10, Oct 2018, pp.1850157-1~12, https://www.worldscientific.com/doi/abs/10.1142/S0218126618501578 Tianyi Li, Xiaodong Xu, Tao Yin, Fubin Xin, Wei Li, Haigang Yang, "A 0.5 to 1.7Gbps PI-CDR with a Wide Frequency-tracking Range", Journal of Circuits, Systems, and Computers, Vol.27 No.4, April 2018 Tao Yin, Zhenghua Ye, Guocheng Huang, Huanming Wu, Haigang Yang, “A closed-loop interface for capacitive micro-accelerometers with pulse-width-modulation force feedback”, Analog Integrated Circuits and Signal Processing,Vol.94 No.2, Feb 2018, pp.195-204 Xin Wei, Zhujia Chen, Wei Li, Haigang Yang, “Harmonic-free and low cost delay-locked loop with a 20%~80% input delay cycle”, Electronics Letters, Vol.53 Issue 2, 23 Jan 2017, pp.70-71 Tianyi Li, Xiaodong Xu, Tao Yin, Wei Li, Haigang Yang, “A convenient method of digital PI-CDR lock-detection for phase noise elimination and enhanced jitter tolerance”, Electronics Letters, Vol.53 Issue 2, 23 Jan 2017, pp.64-66 Huanming Wu, Tao Yin, and Jiwei Jiao, Haigang Yang, “Analysis of parasitic feed‑through capacitance effect in closed‑loop drive circuit design for capacitive micro‑gyroscope”, Microsystems Technologies, Vol.22 No.9, Sept 2016, pp.2201-2207 Rui Jia, Haigang Yang, Yu Lin, Rui Chen, Xinggang Wang, Zhenghong Guo, “A Computationally Efficient Reconfigurable FIR Filter Architecture Based on Coefficient Occurrence Probability”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems”, Vol.35 No.8, Aug 2016, pp.1297-1308 Liqun Yang, Haigang Yang, Wei Li, Grace Zgheib, Zhihua Li, “Efficiently exploring FPGA design space based on semi-supervised learning”, Chinese Journal of Electronics, Vol.25 No.1, 2016 Dandan Zhang, Haigang Yang, Wenrui Zhu, Wei Li, Zhihong Huang, Lin Li, Tianyi Li, “A Multiphase DLL With a Novel Fast-Locking Fine-Code Time-to-Digital Converter”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.23 No.11, Nov 2015, pp.2680-2684 Wenrui Zhu, Haigang Yang, Tongqiang Gao, Fei Liu, Tao Yin, Dandan Zhang, and Hongfeng Zhang, “A 5.8-GHz Wideband TSPC Divide-by-16/17 Dual Modulus Prescaler”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.23 No.1, Jan 2015, pp.194-197 Tianwen Li, Haigang Yang, Gang Cai, Tian Zhi, Yue Li, “A CMOS Triple Inter-Locked Latch for SEU Insensitivity Design”, IEEE Transactions on Nuclear Science, Vol.61 No. 6, Dec 2014, pp.3265-3273 Xiaoyu Wang, Haigang Yang, Fangyang Li, Tao Yin, Guocheng Huang, Fei Liu, “A programmable analog hearing aid system on chip with frequency compensation”, Analog Integrated Circuits and Signal Processing, Vol.79 No.2, May 2014, pp.227-236 Xinggang Wang, Haigang Yang, Fei Wang, Hui He, “A Successive Approximation Time-to-Digital Converter Based on Vernier Charging Method”, IEICE Electronics Express, Vol.11 No.1, Jan 2014, pp.1-6 Xingang Wang, Fei Wang, Haigang Yang, “A Range-Extended and Area-Efficient Time-to-Digital Converter Utilizing Ring-Tapped Delay Line”, IEICE Trans. Electron., Vol.E96-C No.9, 2013, pp.1184-1194 Huanming Wu, Haigang Yang, Tao Yin, and Jiwei Jiao, “Analysis and Design of a 3rd Order Velocity-Controlled Closed-Loop for MEMS Vibratory Gyroscope”, Sensors, 2013, 13, pp.12564-12580 Xin Cheng, Haigang Yang, Tongqiang Gao, Tao Yin, Wei Mu & Hongfeng Zhang, “A feed-forward AGC circuit with 48 dB-gain range, 1.2ms minimum settling time for WiMAX receiver”, Analog Integrated Circuits and Signal Processing, Vol.76 No.7, July 2013, pp.61-71 Zhenghua Ye, Haigang Yang, Tao Yin, Guocheng Huang, Fei Liu, “High-Performance Closed-Loop Interface Circuit for High-Q Capacitive Microaccelerometers”, IEEE Sensors Journal, Vol.13 No.5, May 2013, pp.1425-1433 Fanyang Li, Haigang Yang, Fei Liu, Tao Yin, Xiaoyu Wang, “Dual-Mode Gain Control for a 1V CMOS Hearing Aid Device with Enhanced Accuracy and Energy-Efficiency”, Analog Integrated Circuits and Signal Processing, Vol.72 Issue 2, July 2012, pp.495-504 Tongqiang Gao, Haigang Yang, Zhihua Wang, “A multimode, power-adjustable transmitter for UHF mobile RFID reader”, Analog Integrated Circuits and Signal Processing, Vol.66 No 3, Mar 2011, pp.323-329 Jie Pan, Haigang Yang, “Direct Model Extraction of RFCMOS Spiral Transformers”, International Journal of Electronics, Vol.97 Issue 11, Nov 2010, pp.1339-1350 Haigang Yang, S. Fluxman, C. Reita, P. Migliorato, “Design, measurement and analysis of CMOS polysilicon TFT operational amplifiers”, IEEE Journal of Solid-State Circuits, Vol.29 No.6, Jun 1994, pp.727-732 Haigang Yang, P. Migliorato, C. Reita, S. Fluxman, “Circuit performance of low temperature CMOS polysilicon TFT operational amplifiers”, Electronics Letters, Vol.29 Issue 1, 7 Jan 1993, p.3 Haigang Yang, C. Reita, P. Migliorato, “statistical model for evaluation of effects of nonuniformity in optically-programmed neural networks”, Electronics Letters, Vol.28 Issue 17, 13 Aug 1992, pp.1601-1603 Hai-Gang Yang, David Holburn, “Switch-level timing verification for CMOS circuits: a semianalytic approach”, IEE Proceedings G - Circuits, Devices and Systems, Vol.137 Issue 6, Dec 1990, pp.405-412 代表性会议论文: Xinkai Di, Haigang Yang, Zhihong Huang, Ning Mao, Yiping Jia, Yong Zheng, “Exploring Resource-Efficient Acceleration Algorithm for Transposed Convolution of GANs on FPGA”, 2019 International Conference on Field Programmable Technology (Tianjin, China), Dec. 2019 Yong Zheng, Haigang Yang, Zhihong Huang, Tianli Li, Yiping Jia, “A High Energy-efficiency FPGA-Based LSTM Accelerator Architecture Design by Structured Pruning and Normalized Linear Quantization”, 2019 International Conference on Field Programmable Technology (Tianjin, China), Dec. 2019 Xinkai Di, Haigang Yang, Zhihong Huang, Ning Mao, “An Operation-Minimized FPGA Accelerator Design by Dynamically Exploiting Sparsity in CNN Winograd Transform”, 32nd IEEE International System-on-Chip Conference (SOCC) (Singapore), Sept. 2019 Yuanfeng Wei, Haigang Yang, Tianwen Li, Zhujia Chen, “A SET Harden Phase-Locked Loop with Perturbation Compensated Charge Pump & Interleaved VCO”, The 2018 Radiation Effects on Components and Systems (RADECS) Conference (Gothenburg, Sweden), Sept 2018 Ning Mao, Zhihong Huang, Xing Wei, He Zhao, Xinkai Di, Le Yu and Haigang Yang, “A Self-adaptation Method of Fitting Convolutional Nueral Network into FPGA”, ACM/SIGDA 26th International Symposium on FPGA (Monterey, USA), Feb 2018 Tianwen Li, Haigang Yang, He Zhao, Nan Wang, Gang Cai, Yuanfeng Wei, Yiping Jia, “Investigation into SEU Effects and Hardening Strategies in SRAM Based FPGA”, The 2017 Radiation Effects on Components and Systems (RADECS) Conference (Geneva, Switzerland), Oct 2017 Zhihong Huang, Xing Wei, Grace Zgheib, Wei Li, Yu Lin, Zhenghong Jiang, Kaihui Tu, Paolo Ienne, Haigang Yang, “NAND-NOR: A Compact, Fast, and Delay Balanced FPGA Logic Element”, ACM/SIGDA 25th International Symposium on FPGA (Monterey, USA), Feb 2017 Colin Yu Lin, Zhenghong Jiang, Cheng Fu, Hayden Kwok-Hay, Haigang Yang, “FPGA High-level Synthesis versus Overlays: Comparison on Computation Kernels”, International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies (Hong Kong), 25-27 July 2016 Guocheng Huang, Tao Yin, Qisong Wu, Yuanming Zhu, Haigang Yang, “A 1.3uW 0.7uVrms Chopper Current-Reuse Instrumentation Amplifier for EEG Applications”, The 2015 IEEE Int'l Symposium on Circuits & Systems (Lisbon, Portugal), May 24-27, 2015 Y. Zhu, F. Liu, Y. Yang, G. Huang, T. Yin, H. Yang, “A -115dB PSRR Bandgap Reference with a Novel Voltage Self-Regulating Technique”, IEEE 2014 Custom Integrated Circuits Conference Proceedings (USA), 15-17 Sept 2014 Liqun Yang, Haigang Yang, Wei Li, Zhihua Li, Zhihong Huang, Yu Lin, “A semi-supervised modelling approach for performance characterization of FPGA architectures”, 24th International Conference on Field Programmable Logic and Applications (FPL'14) (Munich, Germany), 1-5 Sept 2014 Rui Jia, Yu Lin, Zhenhong Guo, Rui Chen, Fei Wang, Tongqiang Gao, Haigang Yang, “A Survey of Open Source Processors for FPGAs”, 24th International Conference on Field Programmable Logic and Applications (FPL'14) (Munich, Germany), 1-5 Sept 2014 Zhenghong Jiang, Yu Lin, Liqun Yang, Fei Wang, Haigang Yang, “Exploring Architecture Parameters for Dual-Output LUT based FPGAs”, 24th International Conference on Field Programmable Logic and Applications (FPL'14) (Munich, Germany), 1-5 Sept 2014 Tianwen Li, Haigang Yang, Gang Cai, Tian Zhi, Yue Li, “An innovative SEU hardened CMOS latch”, 2014 IEEE Nuclear and Space Radiation Effects Conference (Paris, France), 14-18 June 2014 Grace Zgheiby, Liqun Yang, Zhihong Huang, David Novo, Hadi Parandeh-Afshary, Haigang Yang, Paolo Ienne, “Revisiting And-Inverter Cones”, 22nd ACM/SIGDA International Symposium of Field-Programmable Gate Arrays (Monterey, USA), 26-28 Feb 2014 Chen Xiaoyan, Yin Tao, Wu Qisong, Jia Yiping, Yang Haigang, “A CMOS Field Programmable Analog Array For Intelligent Sensory Application”, 23rd International Conference on Field Programmable Logic and Applications (Portugal), 1-6 Sept 2013 Du Fangqing, Lin Yu, Cui Xiuhai, Sun Jiabing, Liu Feng, Liu Fei, Yang Haigang, “Timing-Constrained Minimum Area/Power FPGA Memory Mapping”, 23rd International Conference on Field Programmable Logic and Applications (Portugal), 1-6 Sept 2013 Zhang Dandan, Yang Haigang, Chen Zhujia, Li Wei, Huang Zhihong, Gao Lijiang, Zhu Wenrui, “A Fast Locking Digital DLL with a High Resolution Time-to-Digital Converter”, IEEE Custom Integrated Circuits Conference (USA), 23-25 Sept 2013 Le Yu, Haigang Yang, Jia Zhang, Wei Wang, “Performance Evaluation of Air-Gap Based Coaxial RF TSV for 3D SoC”, 19th IFIP/IEEE International Conference on Very Large Scale Integration VLSI-SOC 2011 (Hong Kong), 3-5 Oct 2011 Jia Zhang, Le Yu, Haigang Yang, Yuanlu Xie, Fabiao Zhou, Wei Wang, “Self Test Method and Recovery Mechanism for High Frequency TSV Array”, 19th IFIP/IEEE International Conference on Very Large Scale Integration VLSI-SOC 2011 (Hong Kong), 3-5 Oct 2011 Le Yu, Haigang Yang, Tong Jing, Ming Xu, Robert Geer, Wei Wang, “Electrical Characterization of RF TSV for 3D Multi-Core & Heterogeneous ICs”, IEEE International Conference on Computer-Aided Design (USA), 7-11 Nov 2010 Haigang Yang, “Overview: Emerging technologies for Giga-scale FPGA applications”, IEEE 2010 International Symposium on Circuits & Systems (Paris, France), 29 May-2 June 2010, (invited talk) Ming Liu ,Haigang Yang, Sansiri Tanachutiwat and Wei Wang, “FPGA Based on Integration of Carbon Nanorelays and CMOS Devices”, IEEE/ACM International Symposium on Nanoscale Architectures (San Francisco, USA), 30-31 July 2009 Gang Dong, Haigang Yang, “A Realiability Circuit Implementation for VLSI with Combined Huffman and CRC coding”, 2009 IEEE Circuits & Systems International Conference on Testing & Diagnosis, 28-29 April 2009 Chong Zhang, Haigang Yang, Tao Yin, and Qisong Wu, “A Large Dynamic Range CMOS Readout Circuit for MEMS Vibratory Gyroscope”, The 7th IEEE International Conference on Sensors (Italy), 26-29 Oct 2008 Haigang Yang, Hongguang Sun, Jinghong Han, Jinbao Wei, Zengjin Lin, Shanhong Xia, Hua Zhong, “A pH-ISFET based micro sensor system on chip using standard CMOS technology”, Fifth International Workshop on System-on-Chip for Real-Time Applications (IWSOC'05, Canada), 20-24 July 2005 C. Reita, S. Fluxman, A. Bulter, A.J. Lowe, M.J. Izzard, P. Migliorator, H.-G. Yang, “Fabrication and Performance of Digital and Analogue Poly-Si TFT Circuits on Glass”, 18thEuropean Solid State Circuits Conference ESSCIRC (Copenhagen, Denmark), 21-23 Sept 199 H.-G. Yang, D. M. Holburn, “A hierarchical approach to timing verification in CMOS VLSI design”, European Conference on Design Automation (Netherlands), 25-28 Feb 1991

学术兼职

IEEE Transactions on Circuits & Systems Part II, Editorial Board Member/Associate Editor; Journal of Semiconductor 编委; IEEE Senior Member

推荐链接
down
wechat
bug