当前位置: X-MOL首页全球导师 国内导师 › 谷守珍

个人简介

华东师范大学副教授、上海市“扬帆学者”。重庆大学博士、美国俄克拉荷马州立大学联合培养博士。主持国家自然科学基金青年基金、上海市扬帆计划项目,并参与多项国家863科技专项。主要研究方向:智能系统存储优化技术、新型存储体系结构、多核并行嵌入式系统等。在IEEE TPDS,IEEE TCAD,IEEE TC,IEEE/ACM DAC等重要国际期刊与会议上发表了论文,并获得2021 IEEE TCAD Best Paper Award。担任IEEE TCAD、IEEE TODAES、ESL、Microelectronics Journal 等国际期刊审稿人,国际会议ASPDAC TPC Member等。中国计算机学会(CCF)嵌入式系统专委会委员、国际电子工程师学会(IEEE)会员、美国计算机协会(ACM)会员。 教育经历 2006/9-2010/7,网络工程专业工学学士和英语专业文学学士,重庆大学 2010/9-2016/6,计算机科学与技术专业工学博士,重庆大学 ECE联合培养博士,美国俄克拉荷马州立大学 工作经历 2016/7-2021/12,晨晖学者、讲师,华东师范大学软件工程学院 2022/1至今,副教授,华东师范大学软件工程学院 荣誉及奖励 华东师范大学第十三届青年教师教学比赛二等奖 华为云与计算先锋教师称号

研究领域

智能系统存储优化技术、新型存储体系结构、多核并行嵌入式系统

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

S. Shao, S. Gu(通信作者), B. Sun, E. H.-M. Sha, Q. Zhuge. Fairness Scheduling for Tasks with Different Real-time Level on Heterogeneous Systems, IEEE 28th International Conference on Parallel and Distributed Systems (ICPADS), 2023. (CCF C) M. jia, E. H.-M. Sha, Q. Zhuge, S. Gu. Transient Computing for Energy Harvesting Systems: A Survey, Journal of Systems Architecture, 2022, 132(4):102743. (CCF-B) R. Xu, E. H.-M. Sha, Q. Zhuge, L. Shi, S. Gu, Y. Hou. Relaxed Placement: Minimizing Shift Operations for Racetrack Memory in Hybrid SPM. ACM Great Lakes Symposium on VLSI, 2021: 129-134. S. Gao, S. Gu (通信作者), R. Xu, E. H.-M. Sha, Q. Zhuge. Performance Optimization for Parallel Systems with Shared DWM via Retiming, Loop Scheduling, and Data Placement, Journal of Systems Architecture, 2021, 112:101842. (CCF-B) E. H.-M. Sha, M. Xu, S. Gu (通信作者), Q. Zhuge. Optimizing the data placement and scheduling on multi-port DWM in multi-core embedded system, Journal of Systems Architecture, 2021, 117:102145. (CCF-B) W. Jiang, L. Yang, E. H.-M. Sha, Q. Zhuge, S. Gu, S. Dasgupta, Y. Shi, J. Hu. Hardware/Software Co-Exploration of Neural Architectures, IEEE Transactions on Computer Aided Design (IEEE TCAD), 2020, PP(99):1-1. (CCF-A, 年度最佳论文奖) H. Chen, Y. Lv, C. Li, S. Gu, L. Shi. An Empirical Study of Hybrid SSD with Optane and QLC Flash, IEEE 38th International Conference on Computer Design (ICCD), 2020, pp. 175-178. (CCF-B) R. Xu, E. H.-M. Sha, Q. Zhuge, S. Gu, L. Shi. Optimizing Data Placement for Hybrid SPM with SRAM and Racetrack Memory, IEEE 38th International Conference on Computer Design (ICCD), 2020, pp. 409-416. (CCF-B) Z. Ma, E. H.-M. Sha, Q. Zhuge, W. Jiang, R. Zhang, S. Gu. Future Generation Computer Systems, 2020, 105:1-12. (CCF-C) 许瑞、谷守珍(通信作者)、沙行勉、诸葛晴凤、石亮、高思远. 面向多读/写头磁畴壁存储器的优化研究[J]. 软件学报, 2020(9):18. (中文CCF-A) X. Chen, Q. Zhuge, Q. Sun, E. H.-M. Sha, S. Gu, C. Yang, C. Xue. A Wear-Leveling-Aware Fine-Grained Allocator for Non-Volatile Memory, the 56th Annual Design Automation Conference, 2019: 113. (CCF-A) Y. Zhou, S. Gu (通信作者), L. Zheng, E. H.-M. Sha, Q. Zhuge, L. Wu. Write-Aware Data Allocation on Heterogeneous Memory Architecture with Minimum Cost, 2018 IEEE 24th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA) IEEE, 2018:32-41. W. Feng, S. Gu(通信作者), Y. Yang, Q. Zhuge , E. H.M. Sha. Efficient Task Assignment and Scheduling on MPSOC with STT-RAM based Hybrid SPMs Considering Data Allocation, IEEE International Symposium on Parallel & Distributed Processing with Applications & IEEE International Conference on Ubiquitous Computing & Communications, 2017:794-800. (CCF-C) B. Liu, S. Gu (通信作者), M. Chen, W. Kang, J. Hu, Q. Zhuge, E. H.M. Sha. An Efficient Racetrack Memory-Based Processing-In-Memory Architecture for Convolutional Neural Networks, IEEE International Symposium on Parallel & Distributed Processing with Applications & IEEE International Conference on Ubiquitous Computing & Communications, 2017:383-390. (CCF-C) S. Gu, E. H.-M. Sha, Q. Zhuge, Y. Chen, J. Hu. A Time, Energy, and Area Efficient Domain Wall Memory based SPM for Embedded Systems, IEEE Transactions on Computer Aided Design (IEEE TCAD), 2016, 35(12): 2008-2017. (CCF-A) S. Gu, Q. Zhuge, J. Yi, J. Hu, E. H.M. Sha. Data Allocation with Minimum Cost under Guaranteed Probability for Multiple Types of Memories.Journal of Signal Processing Systems (JSPS), 2016, 84(1): 151-162.(SCI) C. Pan, S. Gu, M. Xie, C. J. Xue, J. Hu. Wear-Leveling Aware Page Management for Non-Volatile Main Memory on Embedded Systems, IEEE Transactions on Multi-Scale Computing Systems (IEEE TMSCS), 2016, 2(2): 129-142. (SCI) S. Gu, E. H.M. Sha, Q. Zhuge, Y. Chen, J. Hu. Area and Performance Co-optimization for Domain Wall Memory in Application-specific Embedded Systems, in Proc. Of the 52nd IEEE/ACM Design Automation Conference (DAC),San Francisco, California, USA, June 2015. (CCF A ) S. Gu, Q. Zhuge, J. Hu, J. Yi, E. H.M. Sha. Optimizing Task and Data Assignment on Multi-core Systems with Multi-port SPMs, IEEE Transactions on Parallel and Distributed Systems (IEEE TPDS), 2015, 26(9): 2549-2560.(CCF A) J. Yi, Q. Zhuge, J. Hu, S. Gu, M. Qin, E. H.M. Sha. Reliability-Guaranteed Task Assignment and Scheduling for Heterogeneous Multiprocessors Considering Timing Constraint, Journal of Signal Processing Systems (JSPS), 2015, 81(3): 359-375. (SCI) S. Gu, Q. Zhuge, J. Hu, J. Yi, E. H.M. Sha.Minimum-cost Data Allocation with Guaranteed Probability on Multiple Types of Memory, in Proc. Of the 20th IEEE International Conference on Embedded and Real-Time Computing, Systems and Applications (RTCSA), Chongqing, China, Aug. 2014. (EI) J. Liu, Q. Zhuge, S. Gu, J. Hu, G. Zhu, E. H.M. Sha. Minimizing System Cost with Efficient Task Assignment on Heterogeneous Multicore Processors Considering Time Constraint, IEEE Transactions on Parallel and Distributed Systems (IEEE TPDS), 2014, 25(8): 2101-2113. (CCF A) J. Hu, Q. Zhuge, C. J. Xue, W. C. Tseng, S. Gu, E. H.M. Sha. Scheduling to Optimize Cache Utilization for Non-Volatile Main Memories, IEEE Transactions on Computers (IEEE TC), 2014, 63(8): 2039-2051. (CCF A) L. Long, D. Liu, J. Hu, S. Gu, Q. Zhuge, E. H.M. Sha. A Space Allocation and Reuse Strategy for PCM-based Embedded Systems, Journal of Systems Architecture (JSA), 2014, 60(8): 655-667. ( CCF C) S. Gu, Q. Zhuge, J. Hu, J. Yi, E. H.M. Sha. Efficient Task Assignment and Scheduling for MPSOC DSPS with VS-SPM Considering Concurrent Accesses Through Data Allocation, in Proc. of the 38th International Conference on Acoustics, Speech, and Signal Processing (ICASSP), Vancouver, Canada, May 2013. (EI) L. Long, D. Liu, J. Hu, S. Gu, Q. Zhuge, E. H.M. Sha. Space-Based Wear Leveling for PCM-Based Embedded Systems, in Proc. of the 19th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Taipei, Taiwan, Aug. 2013. (EI) J. Yi, Q. Zhuge, J. Hu, S. Gu, M. Qin, E. H.M. Sha. Optimizing Task Assignment for Heterogeneous Multiprocessor System with Guaranteed Reliability and Timing Constraint, in Proc. of the 19th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Taipei, Taiwan, Aug. 2013. (EI)

学术兼职

中国计算机学会(CCF)嵌入式系统专委会委员、国际电子工程师学会(IEEE)会员、美国计算机协会(ACM)会员

推荐链接
down
wechat
bug