当前位置: X-MOL首页全球导师 国内导师 › 冼世荣

研究领域

专利与技术转移 共计: 7 7. Sai Weng Sin, He Gong Wei, Li Ding, Yan Zhu, Chi Hang Chan, U-Fat Chio, Seng-Pan U, R. P. Martins, Franco Maloberti, "A Time-Inteleaved Piplined-SAR Analog to Digital Converter with Low Power Consumption", US Patent, Granted Number: 8,427,355, Apr, 2013 6. He Gong Wei, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Delay Generator", US Patent, Granted Number: 8,441,295, May, 2013 5. U-Fat Chio, He Gong Wei, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, "Cascade Analog to Digital Converting System", US Patent, Application Number: 13/198,856, Granted Number: 8,466,823, Jun, 2013 4. Sai Weng Sin, Li Ding, Yan Zhu, He Gong Wei, Chi Hang Chan, U-Fat Chio, Seng-Pan U, R. P. Martins, Franco Maloberti, "Analog to Digital Converter Circuit", Taiwan Patent, Application Number: 100107757, Granted Number: 201242261, Mar, 2014 3. He Gong Wei, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Delay Generator", Taiwan Patent, Application Number: 100116148, Granted Number: 201246793, Mar, 2014 2. Man-Chung Wong, Chi-Seng Lam, Yan-Zheng Yang, Wai-Hei Choi, Ning-Yi Dai, Ya-jie Wu, Chi-Kong Wong, Sai Weng Sin, U-Fat Chio, Seng-Pan U, R. P. Martins, "Mixed signal controller", US patent, Granted, No. 9,692,232, Jun 2017 1. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, "N-Bits Successive Approximation Register Analog-to-Digital Converting System", US Patent, Granted Number: 8,344,931, Jan, 2013

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

1. WenLan Wu, "Monotonic Multi-Switching Method for Ultra-Low-Voltage Energy Efficient SAR ADCs", , , Jun-2013 期刊和杂志 共计: 41 41. Da Feng, Edoardo Bonizzoni, J.E.Franca, Sai Weng Sin, R. P. Martins, "A 10-MHz Bandwidth Two-Path Third-OrderΣΔModulator With Cross-Coupling Branches", IEEE Transactions on Circuits and Systems II: Express Briefs, Oct-2018. 40. Jiali Ma, Mingqiang Guo, Sai Weng Sin, R. P. Martins, "A 14-Bit Split-Pipeline ADC With Self-Adjusted Opamp-Sharing Duty-Cycle and Bias Current", IEEE Transactions on Circuits and Systems II: Express Briefs, Oct-2018. 39. Yu-Jun Mao, Chi-Seng Lam, Sai Weng Sin, Man-Chung Wong, R. P. Martins, "Review and Selection Strategy for High-Accuracy Modeling of PWM Converters in DCM", Hindawi Journal of Electrical and Computer Engineering, Oct-2018. 38. Wen-Liang Zheng, Chi-Seng Lam, Sai Weng Sin, Franco Maloberti, Man-Chung Wong, R. P. Martins, "A 220-MHz bondwire-based fully-integrated KY converter with fast transient response under DCM operation", IEEE Transactions on Circuits and Systems I - Regular Papers (TCAS-I), Aug-2018. 37. Ya-jie Wu, Chi-Seng Lam, Man-Chung Wong, Sai Weng Sin, R. P. Martins, "A reconfigurable and extendable digital architecture for mixed signal power electronics controller", IEEE Transactions on Circuits and Systems II - Express Briefs (TCAS-II), Jul-2018. 36. Weiwei Qin, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Quick and Cost-Efficient A/D Converter Static Characterization using Low-Precision Testing Signal", Microelectronics Journal - Elsevier, Feb-2018. 35. Liang Qi, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, "A 4.2-mW 77.1-dB SNDR 5-MHz BW DT 2-1 MASH ΔΣ Modulator With Multirate Opamp Sharing", IEEE Transactions on Circuits and Systems I - Regular Papers, Oct-2017. 34. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 7.8mW 5b 5GS/s Dual-Edges-Triggered Time-Based Flash ADC", in IEEE Transactions on Circuits and Systems I: Regular paper, Aug-2017. 33. Jianyu Zhong, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 12b 180MS/s 0.068mm2 with Full-Calibration-Integrated Pipelined-SAR ADC", IEEE Transactions on Circuits and Systems I: Regular paper, Jul-2017. 32. Ziyang Luo, Yan Lu, Mo Huang, Junmin Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Sub-1V 78-nA Bandgap Reference with Curvature Compensation", Elsevier Microelectronics Journal, May-2017. 31. Dezhi Xing, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Fan Ye, Junyan Ren, Seng-Pan U, R. P. Martins, "Seven-bit 700-MS/s Four-Way Time-Interleaved SAR ADC With Partial Vcm-Based Switching", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Mar-2017. 30. Jiang DongYang, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, "Reconfigurable mismatch-free time-interleaved bandpass sigma–delta modulator for wireless communications", Electronics Letters, Mar-2017. 29. Yan Lu, Haojuan Dai, Mo Huang, Man-Kay Law, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Wide Input Range Dual-Path CMOS Rectifier for RF Energy Harvesting", IEEE Transactions on Circuits and Systems II, Feb-2017. 28. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Boris Murmann, Seng-Pan U, R. P. Martins, "Metastablility in SAR ADCs", press in IEEE Transactions on CAS – Part II: Express Briefs, Feb-2017. 27. Yi-Wei Tan, Chi-Seng Lam, Sai Weng Sin, Man-Chung Wong, Seng-Pan U, R. P. Martins, "DCM operation analysis of 3-level boost converters", ”, IET Electronics Letters, Feb-2017. 26. Arshad Hussain, Sai Weng Sin, Chi Hang Chan, Seng-Pan U, Franco Maloberti, R. P. Martins, "Active-Passive ΔΣ Modulator for High-Resolution and Low-Power Applications", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Jan-2017. 25. Mo Huang, Yan Lu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Wing-Hung Ki, "Limit Cycle Oscillation Reduction for Digital Low Dropout Regulators", IEEE Transactions on Circuits and Systems II, Sep-2016. 24. Jianwei Lui, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 4x Time-Domain Interpolation 6-bit 3.4 GS/s 12.6 mW Flash ADC in 65 nm CMOS", in Journal of Semiconductor Technology and Science, Aug-2016. 23. Mo Huang, Yan Lu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Fully-Integrated Digital LDO with Coarse-Fine-Tuning and Burst-Mode Operation", IEEE Transactions on Circuits and Systems II, Jul-2016. 22. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 6 b 5 GS/s 4 Interleaved 3 b/Cycle SAR ADC", IEEE Journal of Solid-State Circuits, Feb-2016. 21. Jianwei Lui, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Uniform Quantization Theory-Based Linearity Calibration for Split Capacitive DAC in an SAR ADC", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Jan-2016. 20. Wen-Liang Zheng, Chi-Seng Lam, Wen-Ming Zheng, Sai Weng Sin, Ning-Yi Dai, Man-Chung Wong, Seng-Pan U, R. P. Martins, "DCM operation analysis of KY converter", IET Electronics Letters, Nov-2015. 19. Man-Chung Wong, Yan-Zheng Yang, Chi-Seng Lam, Wai-Hei Choi, Ning-Yi Dai, Ya-jie Wu, Chi-Kong Wong, Sai Weng Sin, U-Fat Chio, Seng-Pan U, R. P. Martins, "Self-reconfiguration property of a mixed signal controller for improving power quality compensator during light loading", IEEE Transactions on Power Electronics, Oct-2015. 18. Jianyu Zhong, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Thermal and Reference Noise Analysis of Time-Interleaving SAR and Partial-Interleaving Pipelined-SAR ADCs", IEEE Transactions on Circuits and Systems I: Regular Papers, Sep-2015. 17. Liang Qi, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Resolution-enhanced sturdy MASH delta–sigma modulator for wideband low-voltage applications", IET, ELECTRONICS LETTERS, Vol. 51, No. 14, pp. 1061–1063, Jul-2015. 16. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Split-SAR ADCs: Improved Linearity with Power and Speed Optimization", ", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Feb-2014. 15. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 5-Bit 1.25-GS/s 4x-Capacitive-Folding Flash ADC in 65-nm CMOS", IEEE Journal of Solid-State Circuits, Sep-2013. 14. Si-Seng Wong, U-Fat Chio, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 2.3 mW 10-bit 170 MS/s Two-Step Binary-Search Assisted Time-Interleaved SAR ADC", IEEE Journal of Solid-State Circuits, Aug-2013. 13. ChenYan Cai, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Excess-loop-delay compensation technique for CT ΔΣ modulator with hybrid active-passive loop-filters", Analog Integrated Circuits and Signal Processing, Springer, Jul-2013. 12. Chenyan Cai, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Excess-Loop-Delay Compensation Technique for CT Delta Sigma Modulator with Hybrid Active-Passive Loop-Filters", Analog Integrated Circuits and Signal Processing, Vol. 76, Issue 1, May-2013. 11. Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, "A 50fJ 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self-Embedded Offset Cancellation", IEEE Journal of Solid-State Circuits, Dec-2012. 10. He Gong Wei, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, "An 8-b 400-MS/s 2-b-Per-Cycle SAR ADC With Resistive DAC", IEEE Journal of Solid-State Circuits, Nov-2012. 9. U-Fat Chio, He Gong Wei, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, "Design and Experimental Verification of a Power Effective Flash-SAR Subranging ADC", IEEE Transactions on CAS – Part II: Express Briefs, Aug-2010. 8. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, "A 10-bit 100-MS/s Reference-Free SAR ADC in 90nm CMOS", IEEE Journal of Solid-State Circuits, Jun-2010. 7. Yan Zhu, U-Fat Chio, He Gong Wei, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Linearity Analysis On A Series-Split Capacitor Array for High-Speed SAR ADCs", Hindawi VLSI Design, Special Issue with "Selected Papers from the Midwest Symposium on Circuits and Systems, Apr-2010. 6. Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 1.2-V 10-bit 60-360MS/s Time-Interleaved Pipelined ADC in 0.18um CMOS with Minimized Supply Headroom", IET Proceedings - Circuits, Devices and Systems, Jan-2010. 5. He Gong Wei, U-Fat Chio, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Rapid Power-Switchable Track-and-Hold Amplifier in 90nm CMOS", IEEE Trans. on Circuits and System II – Express Briefs, Jan-2010. 4. Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 1-V 10b 40MS/s Pipelined ADC with Low-Voltage Circuit Techniques in 0.18um CMOS", 澳门机电工程专业协会(APEMEM)会刊(2007-2008), Apr-2009. 3. Sai Weng Sin, Seng-Pan U, R. P. Martins, "Generalized Circuit Techniques for Low-Voltage High-Speed Reset- and Switched-Opamps", IEEE Transactions on Circuits and Systems I - Regular Papers, Sep-2008. 2. Sai Weng Sin, U-Fat Chio, Seng-Pan U, R. P. Martins, "Statistical Spectra and Distortion Analysis of Time-Interleaved Sampling Bandwidth Mismatch", IEEE Trans. on Circuits and Systems II – Express Briefs, Jul-2008. 1. Seng-Pan U, Sai Weng Sin, R. P. Martins, "Exact Spectra Analysis of Sampled Signals with Jitter-Induced Nonuniformly Holding Effects", IEEE Transactions on Instrumentation and Measurement, Aug-2004. 会议报告和简报 共计: 106 106. U-Fat Chio, Kuo-Chih Wen, Sai Weng Sin, Chi-Seng Lam, Yan Lu, Franco Maloberti, R. P. Martins, "An Integrated DC-DC Converter with Segmented Frequency Modulation and Multiphase Co-Work Control for Fast Transient Recovery", 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC), , Nov-2018. 105. Biao Wang, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, "A 550μW 20kHz BW 100.8dB SNDR Linear-Exponential Multi-Bit Incremental Converter with 256-cycles in 65nm CMOS", Proc. IEEE Symposium on VLSI Circuits - VLSI 2018, , Jun-2018. 104. Biao Wang, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, "A 550µW 20kHz BW 100.8DB SNDR Linear-Exponential Multi-Bit Incremental Converter with 256-cycles in 65NM CMOS", 2018 IEEE Symposium on VLSI Circuits, [Travel Grant Award] [Invited Special Issue in JSSC], Jun-2018. 103. Yi-Wei Tan, Chi-Seng Lam, Sai Weng Sin, Man-Chung Wong, R. P. Martins, "Design and control of an Integrated 3-level boost converter under DCM operation", 2018 International Symposium on Circuits and Systems (ISCAS), pp. 1-5, May-2018. 102. Jiaji Mao, Mingqiang Guo, Sai Weng Sin, R. P. Martins, "A 14-bit Split Pipeline ADC with Self-Adjusted Opamp-Sharing Duty Cycle", IEEE International Solid-State Circuits Conference – ISSCC 2018, Ph.D. Student Research Preview - Session 3, Paper No.7, Feb-2018. 101. U-Fat Chio, Sai Weng Sin, Seng-Pan U, Franco Maloberti, R. P. Martins, "A 5-bit 2 GS/s binary-search ADC with charge-steering comparators", IEEE Asian Solid-State Circuits Conference (A-SSCC), pp221-224, Nov-2017. 100. Chi-Wa U, Chi-Seng Lam, Man-Kay Law, Sai Weng Sin, Man-Chung Wong, Si-Seng Wong, R. P. Martins, "CCM Operation Analysis and Parameter Design of Negative Output Elementary Luo Converter for Ripple Suppression", The 43rd Annual Conference of the IEEE Industrial Electronics Society (IECON 2017), Beijing, China,, No. 01, 2017, pp. 4867 - 4871., Oct-2017. 99. Xia Du, Chi-Seng Lam, Sai Weng Sin, Man-Kay Law, Franco Maloberti, Man-Chung Wong, Seng-Pan U, R. P. Martins, "A digital pwm controlled ky step-up converter based on frequency domain ΣΔ ADC", The 26th IEEE International Symposium on Industrial Electronics (ISIE 2017), pp.561-564, Jun-2017. 98. Mingqiang Guo, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Split-based time-interleaved ADC with digital background timing-skew calibration", 2017 13th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), , Jun-2017. 97. Wei Li, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Mixed-Signal Sigma-Delta Interface circuit for Navigation System Applications", International Symposium on Integrated Circuits, , Dec-2016. 96. Yuan Ren, Sai Weng Sin, Chi-Seng Lam, Man-Chung Wong, Seng-Pan U, R. P. Martins, "A high DR multi-channel stage-shared hybrid sigma-delta modulator for integrated power electronics controller front-end", IEEE Asian Solid-State Circuits Conference (A-SSCC), Toyama, Japan, Nov-2016. 95. Dezhi Xing, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Fan Ye, Junyan Ren, Seng-Pan U, R. P. Martins, "Seven-bit 700-MS/s Four-Way Time-Interleaved SAR ADC With Partial Vcm-Based Switching", IEEE ISCAS 2017, accepted, Oct-2016. 94. Dante Gabriel Muratore, Alper Akdikmen, Edoardo Bonizzoni, Franco Maloberti, U-Fat Chio, Sai Weng Sin, R. P. Martins, "An 8-bit 0.7-GS/s Single Channel Flash-SAR ADC in 65-nm CMOS Technology", . IEEE European Solid-State Circuits Conference – ESSCIRC 2016, pp. 421-424, Sep-2016. 93. Jianyu Zhong, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 12b 180MS/s 0.068mm2 Pipelined-SAR ADC with Merged-residue DAC for Noise Reduction", IEEE European Solid-State Circuits Conference – ESSCIRC 2016, pp. 169-172, Sep-2016. 92. Biao Wang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A high resolution multi-bit incremental converter insensitive to DAC mismatch error", Ph.D Research in Micro-electronics & Electronics (PRIME), , Jun-2016. 91. Jianwei Lui, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 89fJ-FOM 6-bit 3.4GS/s flash ADC with 4x time-domain interpolation", IEEE Asian Solid-State Circuits Conference (A-SSCC), 2015, pp.1-4, Nov-2015. 90. Wen-Ming Zheng, Chi-Seng Lam, Sai Weng Sin, Yan Lu, Man-Chung Wong, Seng-Pan U, R. P. Martins, "Capacitive floating level shifter: Modeling and design", IEEE Region 10 Conference (TENCON), Macau, China, pp. 1-6, Nov-2015. 89. Ka-Fai Chan, Chi-Seng Lam, Wen-Liang Zheng, Wen-Ming Zheng, Sai Weng Sin, Man-Chung Wong, "Generalized type III controller design interface for dc-dc converters", The IEEE Region 10 Conference (TENCON 2015), Macau, China, pp. 1 – 6, Nov-2015. 88. Haojuan Dai, Yan Lu, Man-Kay Law, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Review and Design of the On-Chip Rectifiers for RF Energy Harvesting", IEEE International Wireless Symposium (IWS), pp. 1-4, Mar-2015. 87. Jianyu Zhong, Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 12b 180MS/s 0.068mm2 Full-Calibration Integrated Pipelined-SAR ADC", International Solid State Circuits Conference (ISSCC), Student Research Previews, Feb-2015. 86. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 5.5mW 6b 5GS/S 4×-lnterleaved 3b/cycle SAR ADC in 65nm CMOS", Solid- State Circuits Conference - (ISSCC), (Pre-doctoral achievement awards),pp1-3, Feb-2015. 85. Yan Lu, Junmin Jiang, Wing-Hung Ki, C. Patrick Yue, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 123-Phase DC-DC Converter-Ring with Fast-DVS for Microprocessors", IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers (ISSCC), pp. 364-365, Feb-2015. 84. Da Feng, Sai Weng Sin, E. Bonizzoni, Franco Maloberti, "Time interleaved current steering DAC for ultra-high conversion rate", IEEE Ph.D Research in Micro-electronics & Electronics (PRIME), pp. 1-4, Jun-2014. 83. Li Ding, WenLan Wu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 13-bit 60M Split Pipelined ADC with Background Gain and Mismatch Error Calibration", IEEE Asian Solid-State Circuit Conference – (A-SSCC),, pp 77-80, Nov-2013. 82. Li Ding, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Background Gain-Calibration Technique for Low Voltage Pipelined ADCs Based on Nonlinear Interpolation", IEEE Int. Midwest Symposium on Circuits and Systems (MWSCAS), pp 665-668, Aug-2013. 81. Yan Du, Tao He, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Continuous-Time VCO-Assisted VCO-Based Sigma Delta Modulator with 76.6dB SNDR and 10MHz BW", IEEE International Symposium on Circuits and Systems (ISCAS), pp 373-376, May-2013. 80. WenLan Wu, Yan Zhu, U-Fat Chio, Li Ding, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 0.6V 8B 100MS/s SAR ADC with Minimized DAC Capacitance and Switching Energy in 65nm CMOS", IEEE International Symposium on Circuits and Systems (ISCAS), pp 2239-2242, May-2013. 79. Yun Du, Tao He, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Continuous-Time VCO-Assisted VCO-Based ΣΔ Modulator with 76.6dB SNDR and 10MHz BW", in IEEE International Symposium on Circuits and Systems (ISCAS), , May-2013. 78. Yun Du, Tao He, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Robust NTF Zero Optimization Technique for Both Low and High OSRs Sigma-Delta Modulators", IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp 29-32, Dec-2012. 77. Tao He, Yun Du, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A DT 0-2 MASH Modulator with VCO-Based Quantizer for Enhanced Linearity", IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp 33-36, Dec-2012. 76. WenLan Wu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 10-bit SAR ADC With Two Redundant Decisions and Splitted-MSB-Cap DAC Array", IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp 268-271, Dec-2012. 75. Yun Du, Tao He, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A robust NTF Zero Optimization Technique for both Low and High OSRs Sigma-Delta Modulators", in IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), , Dec-2012. 74. Tao He, Yun Du, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A DT 0–2 MASH ΣΔ Modulator with VCO-Based Quantizer for Enhanced Linearity", in IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), , Dec-2012. 73. Zhijie Chen, Yang Jiang, ChenYan Cai, He Gong Wei, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, "A 22.4μW 80dB SNDR ΣΔ Modulator with Passive Analog Adder and SAR Quantizer for EMG Application", IEEE Asian Solid-State Circuit Conference – (A-SSCC), pp 257-260, Nov-2012. 72. Jianyu Zhong, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Inter-Stage Gain Error Self-Calibration of a 31.5fJ 10b 470MS/s Pipelined-SAR ADC", IEEE Asian Solid-State Circuit Conference – (A-SSCC), pp 153-156, Nov-2012. 71. Zhijie Chen, JIANG Yang, Chenyan Cai, He-Gong Wei, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, "A 22.4μW 80dB SNDR ΣΔ Modulator with Passive Analog Adder and SAR Quantizer for EMG Application", in IEEE Asian Solid State Circuits Conference (A-SSCC), , Nov-2012. 70. Guohe Yin, He Gong Wei, U-Fat Chio, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, "A 0.024mm2 4.9 fJ 10-Bit 2MS/s SAR ADC in 65 nm CMOS", IEEE European Solid-State Circuits Conference – ESSCIRC 2012, pp 377-380, Sep-2012. 69. Rui Wang, U-Fat Chio, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, "A 12-Bit 110MS/S 4-Stage Single-Opamp Pipelined SAR ADC with Ratio-Based GEC Technique", IEEE European Solid-State Circuits Conference – ESSCIRC 2012, pp 265-268, Sep-2012. 68. ChenYan Cai, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "An ELD Tracking Compensation Technique for Active-RC CT ΣΔ Modulators", IEEE Int. Midwest Symposium on Circuits and Systems (MWSCAS) 2012, pp 1096-1099, Aug-2012. 67. Si-Seng Wong, U-Fat Chio, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 2.3mW 10-bit 170MS/s Two-Step Binary-Search Assisted Time-Interleaved SAR ADC", IEEE Custom Integrated Circuits Conference – CICC 2012, pp 1-4, Aug-2012. 66. Chenyan Cai, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "An ELD Tracking Compensation Technique for Active-RC CT ΣΔ Modulators", in IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), , Aug-2012. 65. Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 34fJ 10b 500 MS/s Partial-Interleaving Pipelined SAR ADC", 2012 Symposium on VLSI Circuits Digest of Technical Papers, pp 90-91, Jun-2012. 64. Chi Hang Chan, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 3.8mW 8b 1GS/s 2b/cycle Interleaving SAR ADC with Compact DAC Structure", 2012 Symposium on VLSI Circuits Digest of Technical Papers, pp 86-87, Jun-2012. 63. Tao He, Yang Jiang, Yun Du, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 10MHz BW 78dB DR CT ΣΔ Modulator with Novel Switched High Linearity VCO-Based Quantizer", IEEE Int. Symposium on Circuits and Systems (ISCAS), pp 65-69, May-2012. 62. Tao He, JIANG Yang, Yun Du, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 10MHz BW 78dB DR CT ΣΔ Modulator with Novel Switched High Linearity VCO-Based Quantizer", in IEEE International Symposium on Circuits and Systems (ISCAS), , May-2012. 61. Yan Zhu, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, "A 35 fJ 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self-Embedded Offset Cancellation", Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC, "Asia Chip Olympic"), pp. 61-64, Nov-2011. 60. Si-Seng Wong, U-Fat Chio, He Gong Wei, Chi Hang Chan, Hou-Lon Choi, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 4.8-bit ENOB 5-bit 500MS/s binary-search ADC with minimized number of comparators", Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC, "Asia Chip Olympic"), pp. 73-76, Nov-2011. 59. Chi Hang Chan, Yan Zhu, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A reconfigurable low-noise dynamic comparator with offset calibration in 90nm CMOS", Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC, "Asia Chip Olympic"), pp. 233-236, Nov-2011. 58. Seng-Pan U, Sai Weng Sin, Yan Zhu, U-Fat Chio, He Gong Wei, R. P. Martins, "Design Techniques for Nanometer Wideband Power-Efficient CMOS ADCs", Proc. of IEEE International Symposium on Radio-Frequency Integration Technology – RFIT’2011, pp. 173-176, Nov-2011. 57. Arshad Hussain, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Hybrid Loopfilter Sigma-Delta Modulator With NTF Zero Compensation", International SoC Design Conference – ISOCC, pp. 76-79, Nov-2011. 56. Bo Sun, U-Fat Chio, Chi-Seng Lam, Ning-Yi Dai, Man-Chung Wong, Chi-Kong Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A FPGA-Based Power Electronics Controller for Hybrid Active Power Filters", IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia), Macao, China, pp. 25-28, Oct-2011. 55. Yuan Fei, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A nonlinearity digital background calibration algorithm for 2.5bit/stage pipelined ADCs with opamp sharing architecture", Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimaAsia), pp. 1-4, Oct-2011. 54. Rui Wang, U-Fat Chio, Chi Hang Chan, Li Ding, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, "A time-efficient dither-injection scheme for pipelined SAR ADC", IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia), pp. 9-12, Oct-2011. 53. Arshad Hussain, Sai Weng Sin, Seng-Pan U, R. P. Martins, "NTF Zero Compensation Technique For Passive Sigma-Delta Modulator", IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia), pp. 82-85, Oct-2011. 52. U-Fat Chio, Chi Hang Chan, Hou-Lon Choi, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A 7-bit 300-MS/s Subranging ADC with Embedded Threshold & Gain-Loss Calibration", ", IEEE European Solid-State Circuits Conference – ESSCIRC 2011, pp. 363-366, Sep-2011. 51. JIANG Yang, ChenYan Cai, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Clock-Jitter Sensitivity Reduction in CT Sigma-Delta Modulators Using Voltage-Crossing Detection DAC", IEEE Midwest Symposium on Circuits and Systems – MWSCAS, pp. 1-4, Aug-2011. 50. Zhijie Chen, Peng Zhang, He Gong Wei, Sai Weng Sin, Seng-Pan U, R. P. Martins, Zhihua Wang, "Noise Shaping Implementation in Two-Step/SAR ADC Architectures Based on Delayed Quantization Error", IEEE Midwest Symposium on Circuits and Systems – MWSCAS, pp. 1-4, Aug-2011. 49. ChenYan Cai, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Passive Excess-Loop-Delay Compensation Technique for Gm-C Based Continuous-Time Sigma-Delta Modulators", IEEE Midwest Symposium on Circuits and Systems – MWSCAS, pp. 1-4, Aug-2011. 48. Jianyu Zhong, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Multi-Merged-Switched Redundant Capacitive DACs for 2b/cycle SAR ADC", IEEE Midwest Symposium on Circuits and Systems – MWSCAS, pp. 1-4, Aug-2011. 47. Tao He, Yun Du, Yang Jiang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Dual-VCO-Based Quantizer with Highly Improved Linearity and Enlarged Dynamic Range", IEEE International Midwest Symposium on Circuits and Systems – MWSCAS, pp. 1-4, Aug-2011. 46. Peng Zhang, Zhijie Chen, He Gong Wei, Sai Weng Sin, Seng-Pan U, Zhihua Wang, R. P. Martins, "A Charge Pump Based Timing-Skew Calibration for Time-Interleaved ADC", ", IEEE Midwest Symposium on Circuits and Systems – MWSCAS, pp. 1-4, Aug-2011. 45. Tao He, Yun Du, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Dual-VCO-Based Quantizer with Highly Improved Linearity and Enlarged Dynamic Range", in IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), , Aug-2011. 44. Chenyan Cai, JIANG Yang, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Passive Excess-Loop-Delay Compensation Technique for Gm-C Based Continuous-Time ΣΔ Modulators", in IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), , Aug-2011. 43. Bo Sun, Ning-Yi Dai, U-Fat Chio, Man-Chung Wong, Chi-Kong Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, "FPGA-based Decoupled Double Synchronous Reference Frame PLL for Active Power Filters", 2011 6th IEEE Conference on Industrial Electronics and Applications (ICIEA), pp. 2145 – 2150, Jun-2011. 42. He Gong Wei, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Franco Maloberti, "A 0.024mm2 8b 400MS/s SAR ADC with 2b/cycle and resistive DAC in 65nm CMOS", IEEE International Solid-State Circuit Conference (ISSCC),, pp. 188-189, Feb-2011. 41. Yang Jiang, Kim Fai Wong, ChenYan Cai, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Reduced Jitter-Sensitivity Clock Generation Technique for Continuous-Time ΣΔ Modulators", IEEE Asia Pacific Conference on Circuit and Systems (APCCAS), pp. 1011-1014, Dec-2010. 40. Li Ding, Sai Weng Sin, Seng-Pan U, R. P. Martins, "An Efficient DAC and Interstage Gain Error Calibration Technique For Multi-Bit Pipelined ADCs", IEEE Asia Pacific Conference on Circuit and Systems (APCCAS), pp. 208-211, Dec-2010. 39. Guohe Yin, U-Fat Chio, He Gong Wei, Sai Weng Sin, Seng-Pan U, R. P. Martins, Zhihua Wang, "An Ultra Low Power 9-bit 1-MS/s Pipelined SAR ADC for Bio-medical Applications", IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 878-881, Dec-2010. 38. Yang Jiang, Kim Fai Wong, ChenYan Cai, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Fixed-Pulse Shape Feedback Technique with Reduced Clock-Jitter Sensitivity in Continuous-Time Sigma-Delta Modulators", IEEE International Conference on Electronics, Circuits and Systems (ICECS, pp. 547-550, Dec-2010. 37. JIANG Yang, Kim Fai Wong, Chenyan Cai, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Fixed-Pulse Shape Feedback Technique with Reduced Clock-Jitter Sensitivity in Continuous-Time Sigma-Delta Modulators", in IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp.547-550, Dec-2010. 36. JIANG Yang, Kim-Fai Wong, Chenyan Cai, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Reduced Jitter-Sensitivity Clock Generation Technique for Continuous-Time ΣΔ Modulators", in IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), , Dec-2010. 35. He Gong Wei, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Process-insensitive Current-Controlled Delay Generator with Threshold Voltage Compensation", IEEE Asian Solid-State Circuits Conference – ASSCC 2010, pp. 1-4, Nov-2010. 34. Yuan Fei, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Digital Background Nonlinearity Calibration Algorithm for Pipelined ADCs", IEEE Asia Pacific Conference on Postgraduate Research in Micro-electronics & Electronics (PrimeAsia), pp. 115-118, Sep-2010. 33. Sai Weng Sin, Li Ding, Yan Zhu, He Gong Wei, Chi Hang Chan, U-Fat Chio, Seng-Pan U, R. P. Martins, "An 11b 60MS/S 2.1mW Two-Step Time-Interleaved SAR-ADC with Reused S&H", in Proc. IEEE European Solid-State Circuits Conference – ESSCIRC 2010, pp. 218-221, Sep-2010. 32. Si-Seng Wong, U-Fat Chio, Hou-Lon Choi, Chi Hang Chan, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Power Effective 5-bit 600 MS/s Binary-Search ADC with Simplified Switching", in Proc. IEEE International Midwest Symposium on Circuits and Systems – MWSCAS 2010, pp. 29-32, Aug-2010. 31. Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Threshold-Embedded Offset Calibration Technique for Inverter-Based Flash ADCs", in Proc. IEEE International Midwest Symposium on Circuits and Systems – MWSCAS 2010, pp. 489-492, Aug-2010. 30. Kim Fai Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Level-Shifting Variable Current Charging Technique for High-Speed Comparator-Based Switched-Capacitor Circuits", IEEE International Midwest Symposium on Circuits and Systems – MWSCAS 2010, pp. 566-569, Aug-2010. 29. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Parasitics Nonlinearity Cancellation Technique for Split DAC Architecture by Using Capacitive Charge-Pump", IEEE International Midwest Symposium on Circuits and Systems – MWSCAS 2010, pp. 889-892, Aug-2010. 28. Li Ding, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Background Amplifier Offset Calibration Technique for High-Resolution Pipelined ADC", IEEE International NEWCAS Conference – NEWCAS 2010, pp. 41-44, Jun-2010. 27. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Voltage Feedback Charge Compensation Technique for Split DAC Architecture in SAR ADCs", IEEE International Symposium on Circuits and Systems – ISCAS 2010, pp. 607-611, May-2010. 26. Li Ding, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Noise-Insensitive Offset Calibration Technique for Time Interleaved SAR ADC", Proc. IEEE International Symposium on Circuits and Systems – LASCAS 2010, , Feb-2010. 25. Chi Hang Chan, Yan Zhu, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Voltage-Controlled Capacitance Offset Calibration Technique for High Resolution Dynamic Comparator", in Proc. of 2009 International SoC Design Conference (ISOCC), pp. 392-395, Nov-2009. 24. Sai Weng Sin, He Gong Wei, U-Fat Chio, Yan Zhu, Seng-Pan U, R. P. Martins, Franco Maloberti, "On-Chip Small Capacitor Mismatches Measurement Technique using Beta-Multiplier-Biased Ring Oscillator", in Proc. of 2009 IEEE Asian Solid-State Circuit Conference (A-SSCC), pp. 49-52, Nov-2009. 23. Yan Zhu, Chi Hang Chan, U-Fat Chio, Sai Weng Sin, Seng-Pan U, R. P. Martins, Si-Seng Wong, "Parasitic Calibration by Two-Step Ratio Approaching Techinque for Split Capacitor Array SAR ADCs", in Proc. of 2009 International SoC Design Conference (ISOCC), pp. 333-336, Nov-2009. 22. Kim Fai Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Modified Charging Algorithm for Comparator-Based Switched-Capacitor Circuits", in Proc. IEEE International Midwest Symposium on Circuits and Systems – MWSCAS, pp. 86-89, Aug-2009. 21. U-Fat Chio, Hou-Lon Choi, Chi Hang Chan, Si-Seng Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, "Comparator-Based Successive Folding ADC", IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics & Electronics (PrimeAsia), pp. 117-120, Jan-2009. 20. He Gong Wei, U-Fat Chio, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Process- and Temperature- Insensitive Current-Controlled Delay Generator for Sampled-Data Systems", in Proc. of IEEE Asia Pacific Conference on Circuit and Systems (APCCAS), pp. 1192-1195, Dec-2008. 19. Li Ding, Sio Chan, Kim Fai Wong, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Pseudo-Differential Comparator-Based Pipelined ADC with Common Mode Feedforward Technique", in Proc. of IEEE Asia Pacific Conference on Circuit and Systems (APCCAS), pp. 276-279, Dec-2008. 18. U-Fat Chio, He Gong Wei, Yan Zhu, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Self-Timing Switch-Driving Register by Precharge-Evaluate Logic for High-Speed SAR ADCs", in Proc. of IEEE Asia Pacific Conference on Circuit and Systems (APCCAS), pp. 1164-1167, Dec-2008. 17. Yan Zhu, U-Fat Chio, He Gong Wei, Sai Weng Sin, Seng-Pan U, R. P. Martins, "A Power-Efficient Capacitor Structure for High-Speed Charge Recycling SAR ADCs", in Proceedings of IEEE International Conference on Electronics, Circuits, and Systems - ICECS

推荐链接
down
wechat
bug