当前位置: X-MOL首页全球导师 国内导师 › 叶笑春

个人简介

招生专业 081201-计算机系统结构 081203-计算机应用技术 081202-计算机软件与理论 招生方向 众核处理器结构,高通量并行计算 大数据处理 教育背景 2004-09--2010-07 中国科学院计算技术研究所 工学博士 工作简历 2020-10~现在, 中国科学院计算技术研究所, 研究员 2014-10~2020-09,中国科学院计算技术研究所, 副研究员 2010-07~2014-09,中国科学院计算技术研究所, 助理研究员 奖励信息 (1) 中国产学研合作创新成果二等奖, 二等奖, 省级, 2020 (2) 吴文俊人工智能技术发明奖, 三等奖, 其他, 2018 (3) 北京市科学技术奖, 二等奖, 省级, 2013 专利成果 ( 1 ) 一种虚拟资源分配方法及装置, 发明, 2013, 第 4 作者, 专利号: CN201310444885.X ( 2 ) 内存访问处理方法、装置及系统, 发明, 2013, 第 4 作者, 专利号: CN201310339295.0 ( 3 ) 一种访问数据缓存的方法和处理器, 发明, 2013, 第 4 作者, 专利号: CN201310269618.3 ( 4 ) 基于多内核处理器的一致性处理方法和装置, 发明, 2013, 第 3 作者, 专利号: CN201310260830.3 ( 5 ) 一种众核系统的任务管理方法和装置, 发明, 2013, 第 4 作者, 专利号: CN201310248251.7 ( 6 ) 一种实时多任务调度方法和装置, 发明, 2012, 第 2 作者, 专利号: CN201210551909.7 ( 7 ) 一种基于缓存感知的确定待迁移任务的方法和装置, 发明, 2012, 第 4 作者, 专利号: CN201210392519.X ( 8 ) 并行模拟多个处理器的方法及系统、调度器, 发明, 2011, 第 3 作者, 专利号: CN201110269208.X ( 9 ) 并行模拟多个处理器的方法及系统、调度器, 发明, 2011, 第 3 作者, 专利号: CN201110252377.2 ( 10 ) 对状态寄存器进行重命名的方法和使用该方法的处理器, 发明, 2006, 第 1 作者, 专利号: CN200610150338.0 ( 11 ) METHOD, SYSTEM, AND SCHEDULER FOR SIMULATING MULTIPLE PROCESSORS IN PARALLEL, 发明, 2013, 第 3 作者, 专利号: US13/584332 ( 12 ) 12. METHOD AND SYSTEM FOR SIMULATING MULTIPLE PROCESSORS IN PARALLEL AND SCHEDULER, 发明, 2013, 第 3 作者, 专利号: US14/142567 ( 13 ) Real-Time Multi-Task Scheduling Method and Apparatus, 发明, 2015, 第 2 作者, 专利号: US14/730425 ( 14 ) 一种多目的数据传输网路路由装置、方法、芯片、路由器, 发明, 2016, 第 3 作者, 专利号: CN201610565739.6 ( 15 ) 一种无回边数据流循环方法、系统、装置、芯片, 发明, 2016, 第 3 作者, 专利号: CN201610592479.1 ( 16 ) 众核处理器片上访存距离优化的方法及其装置, 发明, 2016, 第 3 作者, 专利号: CN201610711933.0 ( 17 ) 一种字符操作加速方法及装置, 发明, 2016, 第 3 作者, 专利号: 201610676302.X ( 18 ) 一种异常事件自动推送及基于历史操作的监控方法及系统, 发明, 2016, 第 2 作者, 专利号: 201611148500.5 ( 19 ) 一种面向多地址共享数据路由包的新型片上路由器、路由方法、芯片, 发明, 2017, 第 4 作者, 专利号: 201710029035.1 ( 20 ) 一种基于检测帧的视频监控系统故障识别、定位及告警系统及方法, 发明, 2017, 第 3 作者, 专利号: 201710047382.7 ( 21 ) 一种应用于三角矩阵与矩阵乘法的加速装置的设计, 发明, 2017, 第 4 作者, 专利号: 201710046584.X ( 22 ) 一种流式数据流结构关键路径加速方法 、装置、芯片, 发明, 2017, 第 4 作者, 专利号: 201710028096.6 发表著作 (1) 并行计算机组成与设计, Parallel Computer Organization and Design, 机械工业出版社, 2017-05, 第 2 作者 科研项目 ( 1 ) E级超级计算机新型体系结构及关键技术路线研究, 参与, 国家级, 2015-01--2016-12 ( 2 ) 数据并行与线程并行合一的可伸缩处理器, 参与, 国家级, 2014-01--2018-12 ( 3 ) 千线程并行众核CPU体系结构和支撑技术研究, 参与, 国家级, 2014-01--2016-12 ( 4 ) 处理器性能评估模型技术合作项目, 参与, 院级, 2014-01--2017-12 ( 5 ) 面向科学计算的数据流加速结构研究, 主持, 市地级, 2016-05--2018-05 ( 6 ) 中国科学院青年创新促进会项目, 主持, 部委级, 2017-05--2020-12 ( 7 ) 数据流众核体系结构中的数据通路优化研究, 主持, 国家级, 2019-01--2022-12 ( 8 ) 人工智能芯片理论与技术发展研究, 主持, 研究所(学校), 2018-10--2019-09 ( 9 ) 应对不规则性的图计算加速结构研究, 主持, 市地级, 2020-01--2021-12 ( 10 ) 超导计算机原理样机设计与集成, 主持, 部委级, 2018-01--2022-12

研究领域

众核处理器体系结构、高性能计算、高通量计算

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

(1) An efficient scheduling algorithm for dataflow architecture using loop-pipelining, Information Sciences, 2021, 通讯作者 (2) An Efficient Dataflow Accelerator for Scientific Applications, Future Generation Computer Systems (FGCS), 2020, 第 1 作者 (3) Characterizing and Understanding GCNs on GPU, IEEE Computer Architecture Letters(IEEE CAL), 2020, 通讯作者 (4) HyGCN: A GCN Accelerator with Hybrid Architecture, In the 26th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2020, 通讯作者 (5) 高通量众核处理器设计, 数据与计算发展前沿, 2020, 第 1 作者 (6) 面向高通量计算机的图算法优化技术, 计算机研究与发展, 2020, 第 6 作者 (7) Applying CNN on a Scientific Application Accelerator Based on Dataflow Architecture, CCF Transaction on High Performance Computing (CCF THPC), 2019, 第 1 作者 (8) Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach, In The 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2019, 通讯作者 (9) C-MIDN: Coupled Multiple Instance Detection Network with Segmentation Guidance for Weakly Supervised Object Detection, IEEE International Conference on Computer Vision (ICCV), 2019, 第 4 作者 (10) 3DACN: 3D Augmented Convolutional Network for Time Series Data., Information Sciences, 2019, 第 5 作者 (11) 面向数据流结构的指令内存访存冲突优化研究, 计算机研究与发展, 2019, 通讯作者 (12) 基于细粒度数据流架构的稀疏神经网络全连接层加速, 计算机研究与发展, 2019, 通讯作者 (13) PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing, Sustainable Computing: Informatics and Systems., 2019, 通讯作者 (14) Magma: A Monolithic 3D Vertical Heterogeneous ReRAM-based Main Memory Architecture, DAC, 2019, 第 3 作者 (15) C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion, HPCC, 2019, 通讯作者 (16) Balancing Memory Accesses for Energy-Efficient Graph Analytics Accelerators, ISLPED, 2019, 通讯作者 (17) 基于细粒度数据流架构的稀疏神经网络全连接层加速, 计算机研究与发展, 2019, 通讯作者 (18) SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters, HPCA, 2018, 第 3 作者 (19) 一种面向科学计算的数据流优化方法, 计算机学报, 2016, 第 2 作者 (20) 一种缓存数据流信息的处理器前端设计, 计算机研究与发展, 2016, 第 3 作者 (21) 基于数据流块的空间指令调度, 计算机研究与发展, 2016, 第 3 作者 (22) 众核处理器片上网络的层次化全局自适应路由机制, 计算机研究与发展, 2016, 第 3 作者 (23) Memory Partition for SIMD in Streaming Dataflow Architectures, IGSC, 2016, 第 2 作者 (24) ACCC: An Acceleration Mechanism for Character Operation based on Cache Computing in Big Data Applications, HPCC, 2016, 第 4 作者 (25) An Optimization of Dataflow Architectures for Scientific Applications, PACT, 2016, 第 2 作者 (26) BDSim:面向大数据应用的组件化高可配并行模拟框架, 计算机学报, 2015, 第 2 作者 (27) MACT:高通量众核处理器离散访存请求批量处理机制, 计算机研究与发展, 2015, 第 2 作者 (28) EOFDM: 一种面向众核架构的最低能耗搜索方法, 计算机研究与发展, 2015, 第 4 作者 (29) Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations, Chinese Journal of Electronics, 2015, 第 4 作者 (30) Efficiently and Completely Verifying Synchronized Consistency Models, International Symposium on Automated Technology for Verification and Analysis (ATVA), 2014, 第 3 作者 (31) Optimizing MapReduce with Low Memory Requirements for Shared-Memory Systems, IEEE/ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, 2014, 第 4 作者 (32) MALK:一种高效处理大规模键值的MapReduce框架, 计算机研究与发展, 2014, 第 3 作者 (33) SimICT: A Fast and Flexible Framework for Performance and Power Evaluation of Large-Scale Architecture, International Symposium on Low Power Electronics and Design (ISLPED), 2013, 第 1 作者 (34) An Efficient Parallel Mechanism for Highly-Debuggable Multicore Simulator, International Conference on Advanced Parallel Processing Technology (APPT), 2013, 第 1 作者 (35) Low Execution Efficiency: When General Multi-Core Processor Meets Wireless Communication Protocol, IEEE International Conference on High Performance Computing and Communications (HPCC), 2013, 第 4 作者 (36) A Path-Adaptive Opto-electronic Hybrid NoC for Chip Multi-processor, IEEE International Symposium on Parallel and Distributed Processing with Aplications (ISPA), 2013, 第 3 作者 (37) Godson-T: An Efficient Many-Core Processor Exploring Thread-Level Parallelism, IEEE Micro, 2012, 第 4 作者 (38) CRAW/P: A Workload Partition Method for the Efficient Parallel Simulation of Manycores, Euro-par, 2012, 第 3 作者 (39) Auto-Tuning GEMV on Many-Core GPU, IEEE International Conference on Parallel and Distributed Systems (ICPADS), 2012, 第 4 作者 (40) PartitionSim: A Parallel Simulator for Many-cores, HPCC, 2012, 第 3 作者 (41) ALWP: A Workload Partition Method for the Efficient Parallel Simulation of Manycores, HPCC, 2012, 第 3 作者 (42) High-Efficient Architecture of Godson-T Many-Core Processor, In Proceedings of 23rd Symposium on Hot Chips, 2011, 第 4 作者 (43) LU分解在众核结构仿真器上的指令级调度研究, 系统仿真学报, 2011, 第 4 作者 (44) High Performance Comparison-Based Sorting Algorithm on Many-Core GPUs, International Parallel and Distributed Processing Symposium (IPDPS), 2010, 第 1 作者 (45) 蛋白质序列比对算法在众核结构上的并行与优化, 软件学报, 2010, 第 1 作者 (46) A Fast Linear-Space Sequence Alignment Algorithm with Dynamic Parallelization Framework, International Conference on Computer and Information Technology (CIT), 2009, 第 1 作者 (47) 通用处理器的高带宽访存流水线研究, 计算机学报, 2009, 第 4 作者 (48) Efficient Parallelization of a Protein Sequence Comparison Algorithm on Manycore Architecture, International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT), 2008, 第 1 作者 (49) 众核处理器中使用写掩码实现混合写回/写穿透策略, 计算机学报, 2008, 第 2 作者

推荐链接
down
wechat
bug