当前位置: X-MOL首页全球导师 国内导师 › 张浩

个人简介

招生专业 081201-计算机系统结构 招生方向 众核处理器设计,大数据处理 教育背景 2004-09--2008-03 中国科学院计算技术研究所 博士 2002-09--2004-07 哈尔滨工业大学计算机学院 硕士 1998-09--2002-07 哈尔滨工业大学计算机学院 本科 工作简历 2012-10~现在, 中国科学院计算技术研究所, 高级工程师 2008-03~2012-10,中国科学院计算技术研究所, 助理研究员 2004-09~2008-03,中国科学院计算技术研究所, 博士 2002-09~2004-07,哈尔滨工业大学计算机学院, 硕士 1998-09~2002-07,哈尔滨工业大学计算机学院, 本科 奖励信息 (1) 北京市科学技术奖, , 省级, 2013 专利成果 ( 1 ) 快速虚实地址转换方法和使用该方法的处理器, 2009, 第 1 作者, 专利号: ZL200610171518.7 ( 2 ) 基于局部相联查找的解决访存相关的方法和处理器, 2009, 第 4 作者, 专利号: ZL200610171521.9 ( 3 ) 满足SystemC语法要求的多核处理器及获得其执行代码的方法, 2010, 第 3 作者, 专利号: ZL200710308574.5 ( 4 ) 采用可配置的片上存储装置实现访存操作的系统及方法, 2013, 第 3 作者, 专利号: ZL201010256400.0 ( 5 ) RISC处理器中执 行寄存器类型指令的方法和其系统, 2013, 第 3 作者, 专利号: ZL201010251490.4 ( 6 ) 处理器内基于指令序号的指令级流水线控制方法, 2013, 第 2 作者, 专利号: ZL201010233616.5 ( 7 ) 一种众核处理器片上同步管理装置及同步方法, 2012, 第 2 作者, 专利号: ZL201010221087.7 ( 8 ) 多核处理器JTAG实时片上调试方法及其系统, 2013, 第 4 作者, 专利号: ZL201010135260.1 ( 9 ) 一种多目的数据传输网路路由装置、方法、芯片、路由器, 2016, 第 5 作者, 专利号: 201610570978.0 ( 10 ) 一种无回边数据流循环方法、系统、装置、芯片, 2016, 第 5 作者, 专利号: 201610592479.1 ( 11 ) 一种K近邻算法的加速装置及方法, 2016, 第 5 作者, 专利号: 201610716367.2 ( 12 ) 众核处理器片上访存距离优化的方法及其装置, 2016, 第 4 作者, 专利号: 201610711933.0 ( 13 ) 一种串匹配算法的加速方法及装置, 2016, 第 5 作者, 专利号: 201610648826.8 ( 14 ) 一种字符操作加速方法及装置, 2016, 第 4 作者, 专利号: 201610676302.X ( 15 ) 基于检测帧的视频监控系统故障识别、定位及告警系统及方法, 2017, 第 5 作者, 专利号: 201710047382.7 ( 16 ) 数据流架构中利用关键路径信息进行指令动态迁移的方法, 2017, 第 3 作者, 专利号: 201710261605.X 发表著作 ( 1 ) 多核SoC的多目标设计空间探索, Multi-objective Design Space Exploration of Multi-processor SOC Architectures for Embedded Multimedia Applications, Springer, 2011-09, 第 5 作者 科研项目 ( 1 ) 高通量计算系统的构建原理、支撑技术及云服务应用, 参与, 国家级, 2011-01--2015-08 ( 2 ) 数据并行与线程并行合一的可伸缩处理器体系结构, 参与, 国家级, 2014-01--2018-03 ( 3 ) 华芯通联合实验室, 参与, 研究所(学校), 2015-12--2016-12

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

(1) 基于细粒度数据流架构的稀疏神经网络全连接层加速, 计算机研究与发展, 2019, 第 6 作者 (2) A Sharing Path Awareness Scheduling Algorithm for Dataflow Architecture, HPCC, 2019, 第 7 作者 (3) PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing, Sustainable Computing: Informatics and Systems(SUSCOM), 2019, 第 4 作者 (4) C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion, HPCC, 2019, 第 5 作者 (5) 一种面向科学计算的数据流优化方法, 计算机学报, 2016, 第 4 作者 (6) 一种缓存数据流信息的处理器前端设计, 计算机研究与发展, 2016, 第 4 作者 (7) Godson-T: An Efficient Many-core Processor Exploring Thread-level Parallelism, IEEE Micro, 2012, 第 2 作者 (8) Godson-T: A High-Efficient Many-Core Architecture for Parallel Program Executions, HotChips, 2011, 第 2 作者 (9) Godson-T: A Teraflops Many Core Design for Next-Generation High Performance Computing, DATE, 2009, 第 1 作者 (10) 通用处理器的高带宽访存流水线研究, 计算机学报, 2009, 第 1 作者 (11) Simplified Multi-Ported Cache in High Performance Processor, NAS, 2007, 第 1 作者

推荐链接
down
wechat
bug