当前位置: X-MOL首页全球导师 国内导师 › 李文明

个人简介

招生专业 081201-计算机系统结构 招生方向 高通量众核体系结构,智能芯片体系结构,大规模并行模拟平台 教育背景 2010-09--2016-06 中国科学院计算技术研究所 博士学位 工作简历 2018-10~现在, 中国科学院计算技术研究所, 副研究员 2016-07~2018-09,中国科学院计算技术研究所, 助理研究员 教授课程 数字电路 奖励信息 (1) 计算所优秀科研人员, , 研究所(学校), 2017 (2) 计算所优秀共产党员, 研究所(学校), 2016 (3) 国家奖学金博士奖, 国家级, 2015 (4) 曙光博士奖, 研究所(学校), 2014 (5) 国科大三好学生, 研究所(学校), 2012 (6) 国科大优秀学生干部, 研究所(学校), 2012 专利成果 ( 1 ) 面向通用字符串处理的硬件加速结构装置及控制方法, 发明, 2018, 第 1 作者, 专利号: 201810490966.6 ( 2 ) 一种面向众核处理器的全局感知数据主动预取方法及系统, 发明, 2017, 第 1 作者, 专利号: 201710433007.6 ( 3 ) 一种众核片上网络失败任务甄别及资源重分配方法和系统, 发明, 2017, 第 1 作者, 专利号: 201710437407.4 ( 4 ) 中央处理器的缓存区的缓存行的替换方法及其装置, 发明, 2016, 第 1 作者, 专利号: 201610460009.X ( 5 ) 片上网络路由器通道资源的贪婪分配方法、装置及路由器, 发明, 2016, 第 1 作者, 专利号: 201610460938.0 ( 6 ) 一种异常事件自动推送及基于历史操作的监控方法及系统, 发明, 2016, 第 1 作者, 专利号: 201611148500.5 ( 7 ) 基于检测帧的视频监控系统故障识别、定位及告警系统及其方法, 发明, 2017, 第 1 作者, 专利号: 201710047382.7 ( 8 ) 一种应用复合指令加快数据流结构中指令执行速度的方法, 发明, 2017, 第 3 作者, 专利号: 201710356099.2 ( 9 ) 一种面向多地址共享数据路由包的路由器、路由方法及其芯片, 发明, 2017, 第 4 作者, 专利号: 201710029035.1 ( 10 ) 一种面向图计算应用的CAM结构存储系统, 发明, 2018, 第 3 作者, 专利号: 2018106819185 ( 11 ) 一种面向多上下文粗粒度数据流结构的指令发射控制方法, 发明, 2018, 第 3 作者, 专利号: 20180682382.9 ( 12 ) 一种基于数据流的指令调度方法及装置, 发明, 2017, 第 3 作者, 专利号: 201710099467.X 科研项目 ( 1 ) 高通量众核处理器访存数据通路优化研究, 主持, 国家级, 2019-01--2021-12 ( 2 ) 面向E级原型系统的高能效众核处理器研究, 主持, 国家级, 2016-07--2018-06 ( 3 ) 数据中心高通量处理器访存通路结构研究, 主持, 市地级, 2017-01--2018-12 ( 4 ) 千线程并行的众核CPU 体系结构和支撑技术研究, 参与, 国家级, 2013-01--2016-12 ( 5 ) 处理器性能评估模型技术合作项目, 主持, 院级, 2015-03--2016-09 参与会议 (1)WEAVER: An Energy Efficient, General-Purpose Acceleration Architecture for String Operations in Big Data Applications 2018-12-13 (2)SmarCo An Efficient Many-Core Processor for High-Throughput Applications in Datacenters 2018-02-28 (3)Thread ID Based Power Reduction Mechanism for Multi-thread Shared Set-associative Caches 2015-12-16

研究领域

高通量众核体系结构;智能芯片体系结构;大规模并行模拟平台

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

(1) PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing, Sustainable Computing: Informatics and Systems (SUSCOM), 2019, 第 1 作者 (2) SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters, IEEE Symposium on High Performance Computer Architecture (HPCA), 2018, 通讯作者 (3) WEAVER: An Energy Efficient, General-Purpose Acceleration Architecture for String Operations in Big Data Applications, IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA), 2018, 第 1 作者 (4) A Pipelining Loop Optimization Method for Dataflow Architecture, Journal of Computer Science and Technology(JCST), 2018, 第 7 作者 (5) A Non-Stop Double Buffering Mechanism for Dataflow Architecture, Journal of Computer Science and Technology(JCST), 2018, 第 7 作者 (6) An Efficient Network-on-Chip Router for Dataflow Architecture, Journal of Computer Science and Technology(JCST), 2017, 第 6 作者 (7) LFF:一种面向大数据应用的众核处理器公平性调度机制, 高技术通讯, 2017, 第 2 作者 (8) A Framework for Energy-efficient Optimization on Multi-Cores, International Green Computing Conference and Sustainable Computing Conference (IGSC), 2016, 第 4 作者 (9) ACCC: An Acceleration Mechanism for Character Operation based on Cache Computing in Big Data Applications, IEEE International Conference on High Performance Computing and Communications (HPCC), 2016, 第 3 作者 (10) A High-Density Data Path Implementation fitting for HTC Applications, International Green Computing Conference and Sustainable Computing Conference (IGSC), 2015, 第 1 作者 (11) Thread ID Based Power Reduction Mechanism for Multi-thread Shared Set-associative Caches, International Green Computing Conference and Sustainable Computing Conference (IGSC), 2015, 第 1 作者 (12) 面向大数据应用的组件化高可配并行模拟框架, 计算机学报, 2015, 第 1 作者 (13) MACT: 高通量众核处理器离散访存请求批量处理机制, 计算机研究与发展, 2015, 第 1 作者 (14) CRANarch: A feasible processor micro-architecture for Cloud Radio Access Network, Microprocessors and Microsystems-Embedded Hardware Design (MICPRO), 2014, 第 3 作者

推荐链接
down
wechat
bug