当前位置: X-MOL首页全球导师 国内导师 › 许高博

个人简介

招生专业 080903-微电子学与固体电子学 085400-电子信息 招生方向 集成电路工程 集成电路先导工艺技术 教育背景 2003-09--2009-07 中国科学院微电子研究所 工学博士学位 1998-09--2002-07 山东大学 工学学士学位 工作简历 2011-09~现在, 中国科学院微电子研究所, 副研究员 2009-07~2011-09,中国科学院微电子研究所, 助理研究员 奖励信息 (1) 中国科学院微电子研究所优秀共产党员, 研究所(学校), 2016 (2) 中国科学院微电子研究所先进工作者, 研究所(学校), 2014 (3) 中国科学院微电子器件与集成技术重点实验室优秀员工, 研究所(学校), 2012 专利成果 ( 1 ) 一种隧穿场效应晶体管及其制造方法, 发明, 2011, 第 1 作者, 专利号: CN201110100732.4 ( 2 ) 一种半导体器件的制造方法, 发明, 2011, 第 1 作者, 专利号: CN201110121071.3 ( 3 ) 一种存储器及其制造方法, 发明, 2015, 第 1 作者, 专利号: CN102810541B ( 4 ) 一种高k栅介质界面优化方法, 发明, 2015, 第 1 作者, 专利号: CN102810468B ( 5 ) n型半导体器件及其制造方法, 发明, 2015, 第 1 作者, 专利号: CN102856377B ( 6 ) 一种半导体器件的替代栅集成方法, 发明, 2011, 第 1 作者, 专利号: CN201110181587.8 ( 7 ) 一种互补型金属氧化物半导体场效应晶体管的制备方法, 发明, 2015, 第 3 作者, 专利号: CN102915917B ( 8 ) P-TYPE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME, 发明, 2014, 第 1 作者, 专利号: US8786032 ( 9 ) METHOD FOR IMPROVING ELECTRON-BEAM EXPOSURE EFFICIENCY, 发明, 2012, 第 2 作者, 专利号: US8278026 ( 10 ) 一种半导体结构的制造方法, 发明, 2011, 第 3 作者, 专利号: CN201110362350.9 ( 11 ) CMOS器件及其制造方法, 发明, 2012, 第 3 作者, 专利号: CN201210075694.6 ( 12 ) 超陡倒掺杂沟道的形成方法,半导体器件及其制造方法, 发明, 2012, 第 4 作者, 专利号: CN201210088756.7 ( 13 ) 等平面场氧化隔离结构及其形成方法, 发明, 2012, 第 1 作者, 专利号: CN201210521736.4 ( 14 ) 形成半导体器件替代栅的方法以及制造半导体器件的方法, 发明, 2016, 第 1 作者, 专利号: CN103854980B ( 15 ) 一种用于PMOS器件的金属栅功函数的调节方法, 发明, 2012, 第 2 作者, 专利号: CN102074469B ( 16 ) 一种钼铝氮金属栅的制备方法, 发明, 2012, 第 1 作者, 专利号: CN101930915B ( 17 ) 一种铪硅铝氧氮高介电常数栅介质的制备方法, 发明, 2012, 第 1 作者, 专利号: CN101800178B ( 18 ) 一种双金属栅功函数的调节方法, 发明, 2012, 第 2 作者, 专利号: CN101800196B ( 19 ) METHOD FOR INTEGRATION OF DUAL METAL GATES AND DUAL HIGH-K DIELECTRICS IN CMOS DEVICES, 发明, 2014, 第 2 作者, 专利号: US8748250 ( 20 ) METHOD FOR INTEGRATING REPLACEMENT GATE IN SEMICONDUCTOR DEVICE, 发明, 2013, 第 1 作者, 专利号: US8377769 ( 21 ) p型半导体器件及其制造方法, 发明, 2013, 第 1 作者, 专利号: CN102339858B ( 22 ) CMOS DEVICE AND METHOD FOR MANUFACTURING THE SAME, 发明, 2015, 第 3 作者, 专利号: US9049061 ( 23 ) SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME, 发明, 2013, 第 3 作者, 专利号: US13989808 ( 24 ) METHOD FOR MANUFACTURING P-TYPE MOSFET, 发明, 2013, 第 4 作者, 专利号: US14004802 ( 25 ) METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, 发明, 2015, 第 3 作者, 专利号: US9136181 ( 26 ) 隧穿场效应晶体管及其制造方法, 发明, 2014, 第 1 作者, 专利号: CN201410585075.0 ( 27 ) METHOD FOR FORMING AND CONTROLLING MOLECULAR LEVEL SiO2 INTERFACE LAYER, 发明, 2014, 第 2 作者, 专利号: US8822292 ( 28 ) METHOD FOR MANUFACTURING CMOS FET, 发明, 2013, 第 3 作者, 专利号: US8530302 ( 29 ) METHOD FOR MANUFACTURING N-TYPE MOSFET, 发明, 2015, 第 3 作者, 专利号: US9029225 ( 30 ) METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, 发明, 2014, 第 2 作者, 专利号: US14233280 ( 31 ) METHODS FOR MANUFACTURING SEMICONDUCTOR DEVICES, 发明, 2014, 第 3 作者, 专利号: US14233320 ( 32 ) METHOD FOR MANUFACTUREING P-TYPE MOSFET, 发明, 2014, 第 2 作者, 专利号: US14373628 ( 33 ) 一种半导体器件的制造方法, 发明, 2014, 第 1 作者, 专利号: CN102543696B ( 34 ) 一种提高电子束曝光效率的方法, 发明, 2014, 第 2 作者, 专利号: CN102466966B ( 35 ) 半导体器件的制造方法, 发明, 2014, 第 3 作者, 专利号: CN102543838B ( 36 ) 隧穿场效应晶体管及其制造方法, 发明, 2015, 第 1 作者, 专利号: CN201510564000.9 ( 37 ) 隧穿场效应晶体管及其制造方法, 发明, 2015, 第 1 作者, 专利号: CN201510617025.0 ( 38 ) 半导体器件制造方法, 发明, 2015, 第 1 作者, 专利号: CN201510616088.4 ( 39 ) 一种半导体器件的替代栅集成方法, 发明, 2016, 第 1 作者, 专利号: CN102856180B ( 40 ) 一种半导体结构及其制造方法, 发明, 2016, 第 3 作者, 专利号: CN103107091B ( 41 ) 等平面场氧化隔离结构及其形成方法, 发明, 2016, 第 1 作者, 专利号: CN103855072B ( 42 ) 半导体器件的制造方法, 发明, 2016, 第 3 作者, 专利号: CN103854982B ( 43 ) CMOS器件及其制造方法, 发明, 2017, 第 3 作者, 专利号: CN201210075694.6 ( 44 ) 一种栅堆叠及其制造方法, 发明, 2017, 第 1 作者, 专利号: CN201310358978.0 ( 45 ) P型MOSFET的制造方法, 发明, 2018, 第 4 作者, 专利号: CN201210506496.0 ( 46 ) 一种半导体器件及其制造方法, 发明, 2018, 第 3 作者, 专利号: CN201811033863.3 ( 47 ) 一种遂穿场效应晶体管及其制造方法, 发明, 2018, 第 2 作者, 专利号: CN201810157476.4 ( 48 ) 纳米线阵列围栅MOSFET结构及其制作方法, 发明, 2018, 第 4 作者, 专利号: CN201810143686.8 ( 49 ) 垂直纳米线晶体管与其制作方法, 发明, 2018, 第 4 作者, 专利号: CN201810136625.9 ( 50 ) 垂直纳米线晶体管与其制作方法, 发明, 2018, 第 4 作者, 专利号: CN201810134800.0 ( 51 ) 半导体器件与其制作方法, 发明, 2018, 第 4 作者, 专利号: CN201810134787.9 ( 52 ) 量子点器件及其制作方法, 发明, 2018, 第 4 作者, 专利号: CN201810134788.3 ( 53 ) 一种漂移探测器的双面制备方法及漂移探测器, 发明, 2019, 第 1 作者, 专利号: CN201911154720.2 ( 54 ) 一种漂移探测器的制备方法及漂移探测器, 发明, 2019, 第 1 作者, 专利号: CN201911155931.8 ( 55 ) 一种绝缘体上半导体结构及其抗总剂量辐照加固方法, 发明, 2020, 第 2 作者, 专利号: CN202010797041.3 ( 56 ) X射线阵列传感器、探测器及其制作方法, 发明, 2020, 第 2 作者, 专利号: CN202010677646.9 科研项目 ( 1 ) 双金属栅CMOS器件的可靠性退化机制及其抑制方法研究, 参与, 国家级, 2014-01--2016-12 ( 2 ) 离子掺杂高k栅介质/金属栅技术研究及理论分析, 主持, 市地级, 2015-09--2016-08 ( 3 ) 碳基纳米电子器件与集成, 参与, 国家级, 2016-07--2021-06 ( 4 ) 高迁移率二维料铟硒及其异质结构的物性、量子输运性质调控和器件应用研究, 参与, 国家级, 2018-01--2021-12 ( 5 ) FINFET器件研究及制备, 主持, 院级, 2018-03--2018-11 ( 6 ) 铁电存储器关键器件研究及制备, 主持, 院级, 2018-12--2019-05 ( 7 ) 新型铁电材料与器件研究及制备, 主持, 院级, 2018-12--2019-07 ( 8 ) 二维小像素探测器, 主持, 国家级, 2016-07--2022-12 ( 9 ) 新型铁电存储器技术, 主持, 国家级, 2018-01--2020-12 ( 10 ) 像素探测器芯片研制, 主持, 院级, 2019-06--2020-05 ( 11 ) 超高时间分辨四维探测器研制, 主持, 院级, 2019-11--2021-12 参与会议 (1) Improved Electrical Characteristics of P-type Tunnel Field-Effect Transistor With Source-Pocket Junction Formed Using High-Angle Implantation 2019-10-14 (2)A High-Performance Source-Pocket Tunnel Field-Effect Transistor 2019-03-18 (3)CMOS-compatible Hf0.5Zr0.5O2-based Ferroelectric Capacitors for Negative Capacitance and Non-volatile Applications 2018-10-31 (4)Study of a novel N-type Tunneling FET with a Si1-xGex/Si hetero-pocket 2017-12-06 (5)Built-in Nonlinear Characteristics of Low Power Operating One-Resistor Selector-Less RRAM By Stacking Engineering 2017-10-01 (6)Nonlinear Characteristics of Low Power Operating HfOx/SiOx Stacking Selectorless RRAM 2017-06-25 (7)FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin 2016-12-03 (8)SiGe Selective Epitaxial Growth Process for 22nm Node CMOS and Beyond 2014-05-14 (9)Study of Hetero-Tunneling gFET with an Ultra-Shallow Pocket Junction 2014-05-14 (10)Study of Si Green Transistor with an Ultra-shallow Pocket Junction 2014-03-16 (11)High-quality HfSiON gate dielectric and its application in a gate-last NMOSFET fabrication 2013-06-03 (12)Characteristics of HfLaON/SiO2 Gate Stack prepared using Reactive Sputtering 2013-03-17 (13)Characteristics of HfSiAlON Gate Dielectric Prepared by Physical Vapor Deposition 2011-03-13

研究领域

新型半导体器件及集成电路先导工艺研究

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

(1) Single-event-transient effects in silicon-on-insulator ferroelectric double-gate vertical tunneling field effect transistors, Science China Information Sciences, 2020, 通讯作者 (2) Heavy ion induced single-event-transient effects in nanoscale ferroelectric vertical tunneling transistors by TCAD simulation, Semiconductor Science and Technology, 2020, 通讯作者 (3) Accumulative total ionizing dose (TID) and transient dose rate (TDR) effects on planar and vertical ferroelectric tunneling-field-effect-transistors (TFET), Microelectronics Reliability, 2020, 通讯作者 (4) Simulation of Total Ionizing Dose (TID) Effects Mitigation Technique for 22 nm Fully-Depleted Silicon-on-Insulator (FDSOI) Transistor, IEEE Access, 2020, 通讯作者 (5) Total Ionization Dose Effects of N-type Tunnel Field Effect Transistor (TFET) with Ultra-Shallow Pocket Junction, Applied Physics A, 2020, 通讯作者 (6) Optimization of zero-level interlayer dielectric materials for gate-all-around silicon nanowire channel fabrication in a replacement metal gate process, Materials Science in Semiconductor Processing, 2020, 其他(合作组作者) (7) 一种硅漂移探测器的优化设计与特性研究, 传感技术学报, 2020, 第 4 作者 (8) Fabrication and characterization of a novel Si line tunneling TFET with high drive current, IEEE Journal of the Electron Devices Society, 2020, 通讯作者 (9) Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device, Journal of Materials Science Materials in Electronics, 2020, 第 10 作者 (10) Hf0.5Zr0.5O2-based ferroelectric bionic electronic synapse device with highly symmetrical and linearity weight modification, Electronics Letters, 2020, 第 3 作者 (11) High crystal quality strained Si0.5Ge0.5 layer with a thickness of up to 50 nm grown on the three-layer SiGe strain relaxed buffer, Materials Science in Semiconductor Processing, 2019, 第 8 作者 (12) FinFET with Improved Subthreshold Swing and Drain Current using 3 nm Ferroelectric Hf0.5Zr0.5O2, IEEE Electron Device Letters, 2019, 第 2 作者 (13) Process Optimization of the Si0.7Ge0.3 Fin Formation for the STI First Scheme, Semiconductor Science and Technology, 2019, 第 7 作者 (14) Internal Filament Modulation in Low-dielectric Gap Design for Built-in Selector-less Resistive Switching Memory Application, Journal of Physics D: Applied Physics, 2018, 第 8 作者 (15) Study of γ-ray irradiation influence on TiN/HfO2 /Si MOS capacitor by C-V and DLTS, Superlattices and Microstructures, 2018, 第 10 作者 (16) 隧穿场效应晶体管的研究进展, 微纳电子技术, 2018, 通讯作者 (17) Total Ionization Dose Effects on Charge Storage Capability of Al2O3/HfO2/Al2O3 (AHA)-based Charge Trapping Memory (CTM) Cell, Chinese Physics Letters, 2018, 第 3 作者 (18) Band-Edge Work Function Obtained by Plasma Doping TiN Metal Gate for nMOS Device Application, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2018, 第 3 作者 (19) Beyond SiOx: An Active Electronics Resurgence and Biomimetic Reactive Oxygen Species Production and Regulation from Mitochondrion, Journal of Materials Chemistry C, 2018, 第 5 作者 (20) 硅基Ⅲ-Ⅴ族纳米线及其若干半导体器件, 半导体技术, 2017, 通讯作者 (21) Total ionizing dose effects and annealing behaviors of HfO2 based MOS capacitor, Science China Information Science, 2017, 第 3 作者 (22) Total Ionization Dose Effects on Charge Trapping Memory (CTM) with Al2O3/HfO2/Al2O3 Trilayer Structure, IEEE Transactions on Nuclear Science, 2017, 第 3 作者 (23) Attainment of Dual-band Edge Work Function by Using a Single Metal Gate and Single High-k Dielectric Via Ion Implantation for HP CMOS Device, Solid-State Electronics, 2016, 第 2 作者 (24) Ion Implanted TiN Metal Gate With Dual-band Edge Work Function and Excellent Reliability for Advanced CMOS Device Applications, IEEE Transactions on Electron Devices, 2015, 第 2 作者 (25) Investigation of Key Technologies for Poly-Si/TaN/fLaON/IL SiO2 Gate-Stacks in Advanced Device Applications, IEEE Transactions on Electron Devices, 2014, 第 2 作者 (26) Study of Si Green Transistor with an Ultra-shallow Pocket Junction, ECS Transactions, 2014, 第 1 作者 (27) Characteristics of HfLaON/SiO2 Gate Stack prepared using Reactive Sputtering, ECS Transactions, 2013, 第 1 作者 (28) Characterization of HfSiAlON/MoAlN PMOSFET Fabricated by Using a Novel Gate-Last Process, Chinese Physics Letters, 2013, 第 1 作者 (29) A high performance HfSiON/TaN NMOSFET fabricated using a gate-last process, Chinese Physics B, 2013, 第 1 作者 (30) CMP-Less Planarization Technology with SOG/LTO Etchback for Low-Cost High-k/Metal Gate-Last Integration, ECS Journal of Solid State Science and Technology, 2013, 第 4 作者 (31) HfSiON High-k Layer Compatibility Study with TetraMethyl Ammonium Hydroxide (TMAH) Solution, Electrochemical and Solid-State Letters, 2012, 第 3 作者 (32) CMP-less Planarization Technology with SOG/LTO Etchback for Low Cost 70nm Gate-Last Process, ECS Transactions, 2011, 第 4 作者 (33) Gallium-incorporated TiN metal gate with band-edge work function and excellent thermal stability for PMOS device applications, IEEE Electron Device Letters, 2011, 第 2 作者 (34) Characteristics of HfSiAlON Gate Dielectric Prepared by Physical Vapor Deposition, ECS Transactions, 2011, 第 1 作者

推荐链接
down
wechat
bug