当前位置: X-MOL首页全球导师 国内导师 › 王文武

个人简介

招生专业 080903-微电子学与固体电子学 080901-物理电子学 080402-测试计量技术及仪器 招生方向 集成电路先导工艺技术 CMOS器件与集成技术 教育背景 2003-04--2006-03 日本东京大学 获得工学博士学位 1998-09--2003-03 兰州大学 获得理学博士学位 1992-09--1997-06 兰州大学 本科学习 工作简历 2008-04~现在, 中科院微电子研究所, 研究员 2006-07~2008-03,日本产业技术综合研究所MIRAI项目, 特别研究员 2006-04~2006-06,日本东京大学, 特聘研究员 2003-04~2006-03,日本东京大学, 获得工学博士学位 1998-09~2003-03,兰州大学, 获得理学博士学位 1997-08~1998-08,中国空间技术研究院第510研究所, 助理工程师 1992-09~1997-06,兰州大学, 本科学习 教授课程 微电子/光电子/智能制造产业技术与实践 奖励信息 (1) 极大规模集成电路关键技术研究集体, , 院级, 2015 (2) 日本半导体MIRAI项目最优秀奖, , 研究所(学校), 2008 (3) 日本半导体MIRAI项目优秀奖, , 研究所(学校), 2007 专利成果 ( 1 ) 一种半导体器件结构及其制造方法, 2010, 第 1 作者, 专利号: 201010589244.X ( 2 ) 一种用于后栅工艺的金属栅制备方法, 2010, 第 2 作者, 专利号: 201010500383.0 ( 3 ) 互补型金属氧化物半导体晶体管器件及其制作方法, 2008, 第 1 作者, 专利号: 200810227483.3 ( 4 ) 高温退火处理诱导相转变合成金属线氮化铪薄膜的方法, 2008, 第 1 作者, 专利号: 200810227479.7 ( 5 ) 一种半导体器件的制造方法及其结构, 2009, 第 1 作者, 专利号: 201010220686.7 ( 6 ) 一种界面优化的锗基半导体器件及其制造方法, 2009, 第 1 作者, 专利号: 201010232705.8 ( 7 ) 一种高介电常数栅介质结构及其形成方法, 2010, 第 1 作者, 专利号: 201010520981.4 ( 8 ) 抑止高k栅介质/金属栅结构在高温退火下界面层生长的方法, 2009, 第 1 作者, 专利号: 200910077522.0 ( 9 ) 控制器件阈值电压的CMOSFETs结构及其制造方法, 2009, 第 1 作者, 专利号: 200910087807.2 ( 10 ) 带有存储功能的MOS器件及其形成方法, 2010, 第 2 作者, 专利号: CN201010579748.3 ( 11 ) 栅极结构的形成方法、半导体器件的形成方法以及半导体器件, 2012, 第 3 作者, 专利号: CN201210246572.9 ( 12 ) 半导体器件制造方法, 2012, 第 3 作者, 专利号: CN201210228598.0 ( 13 ) 栅极结构的形成方法、半导体器件的形成方法以及半导体器件, 2012, 第 3 作者, 专利号: CN201210246582.2 ( 14 ) 金属源漏SOI MOS晶体管及其形成方法, 2011, 第 4 作者, 专利号: CN201110161231.7 ( 15 ) Method for forming gate structure, method for forming semiconductor device, and semiconductor device [EN], 2012, 第 3 作者, 专利号: US201213699734 ( 16 ) Semiconducor device and method for manufacturing the same [EN], 2011, 第 1 作者, 专利号: US201113395608 ( 17 ) Semiconductor device and method for forming the same [EN], 2011, 第 2 作者, 专利号: US201113132985 ( 18 ) Graphene device and method for manufacturing the same [EN], 2011, 第 3 作者, 专利号: US201113140141 ( 19 ) Graphene device and method for manufacturing the same [EN], 2011, 第 3 作者, 专利号: US201113140141 ( 20 ) MOS device with memory function and manufacturing method thereof [EN], 2011, 第 2 作者, 专利号: US201113139063 ( 21 ) Method of manufacturing a semiconductor device [EN], 2010, 第 2 作者, 专利号: US201013061774 ( 22 ) Semiconductor device and method of manufacturing the same [EN], 2010, 第 1 作者, 专利号: US201013061879 ( 23 ) Semiconductor device and method of manufacturing the same [EN], 2012, 第 1 作者, 专利号: US201213517893 ( 24 ) Semiconductor device and method for manufacturing the same [EN], 2010, 第 1 作者, 专利号: US201013061655 ( 25 ) CMOSFET device with controlled threshold voltage and method of fabricating the same [EN], 2010, 第 1 作者, 专利号: US93744410 ( 26 ) CMOSFET device with controlled threshold voltage characteristics and method of fabricating the same [EN], 2010, 第 1 作者, 专利号: US93536410 ( 27 ) Method of manufacturing a high-performance semiconductor device [EN], 2010, 第 2 作者, 专利号: US92239110 ( 28 ) Semiconductor device and method of manufacturing the same [EN], 2010, 第 1 作者, 专利号: US201013063564 ( 29 ) Method for Forming Gate Structure, Method for Forming Semiconductor Device, and Semiconductor Device [EN], 2012, 第 3 作者, 专利号: US201213699734 ( 30 ) SEMICONDUCOR DEVICE AND METHOD FOR MANUFACTURING THE SAME [EN], 2011, 第 1 作者, 专利号: US201113395608 ( 31 ) SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME [EN], 2012, 第 1 作者, 专利号: US201213517893 ( 32 ) MOS DEVICE WITH MEMORY FUNCTION AND MANUFACTURING METHOD THEREOF [EN], 2011, 第 2 作者, 专利号: US201113139063 ( 33 ) CMOSFET DEVICE WITH CONTROLLED THRESHOLD VOLTAGE CHARACTERISTICS AND METHOD OF FABRICATING THE SAME [EN], 2010, 第 1 作者, 专利号: US93536410 ( 34 ) GRAPHENE DEVICE AND METHOD FOR MANUFACTURING THE SAME [EN], 2011, 第 3 作者, 专利号: US201113140141 ( 35 ) SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME [EN], 2011, 第 2 作者, 专利号: US201113132985 ( 36 ) METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE [EN], 2010, 第 2 作者, 专利号: US201013061774 ( 37 ) SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME [EN], 2010, 第 1 作者, 专利号: US201013061655 ( 38 ) SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME [EN], 2010, 第 1 作者, 专利号: US201013061879 ( 39 ) METHOD OF MANUFACTURING A HIGH-PERFORMANCE SEMICONDUCTOR DEVICE [EN], 2010, 第 2 作者, 专利号: US92239110 ( 40 ) SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME [EN], 2010, 第 1 作者, 专利号: US201013063564 ( 41 ) CMOSFET DEVICE WITH CONTROLLED THRESHOLD VOLTAGE AND METHOD OF FABRICATING THE SAME [EN], 2010, 第 1 作者, 专利号: US93744410 ( 42 ) SEMICONDUCTOR DEVICE AND FABRICATION METHOD OF THE SAME [EN], 2010, 第 2 作者, 专利号: US40177209 ( 43 ) SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME [EN], 2008, 第 1 作者, 专利号: US13779608 发表著作 Metal Gate Electrode for Advanced CMOS Application, Wiley, 2013-07, 第 1 作者 科研项目 ( 1 ) 32-22nm栅刻蚀机产品研发及产业化, 主持, 国家级, 2011-01--2016-12 ( 2 ) 14纳米以下技术代硅基新型器件及关键工艺技术研究, 主持, 国家级, 2015-01--2017-12 ( 3 ) 新型GaN电子器件低界面态介质生长系统, 主持, 国家级, 2016-01--2018-12 ( 4 ) 基于臭氧技术的Ge基高介电常数栅介质MOS器件的基础研究:界面特性、栅电荷分布及起源、迁移率散射机制, 主持, 国家级, 2016-01--2017-12 ( 5 ) 20-14nm技术知识产权与研发联盟技术创新战略研究, 主持, 国家级, 2016-01--2018-12 ( 6 ) 高迁移率沟道纳米线的关键共性技术研究, 主持, 国家级, 2017-01--2020-12 ( 7 ) 3-1nm集成电路新器件与先导工艺, 主持, 部委级, 2019-10--2020-10 ( 8 ) 新型GaN电子器件低界面态介质生长系统, 主持, 国家级, 2016-01--2020-12

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

(1) 基于吉布斯自由能分布来定义和理解瞬态负电容的适用性, On the applicability of Gibbs free energy landscape to definition and understanding of transient negative capacitance in a ferroelectric capacitor, Journal of Physics D: Applied Physics, 2020, 第 6 作者 (2) Evaluation of hole mobility degradation by remote Coulomb scattering in Ge pMOSFETs, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2019, 其他(合作组作者) (3) Comprehensive Study and Design of High-k/SiGe Gate Stacks with Interface-Engineering by Ozone Oxidation, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2019, 通讯作者 (4) Experimental Investigation of Remote Coulomb Scattering on Mobility Degradation of Ge pMOSFET by Various PDA Ambiences, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2019, 通讯作者 (5) Impacts of Ge Preamorphization Implantation and Si Capping on the Specific Contact Resistivity of Ni(Pt) SiGe/p(+)-SiGe Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2019, 第 7 作者 (6) Impact of ALD TiN Capping Layer on Interface Trap and Channel Hot Carrier Reliability of HKMG nMOSFETs, IEEE ELECTRON DEVICE LETTERS, 2018, 通讯作者 (7) Hole mobility degradation by remote Coulomb scattering and charge distribution in Al2O3/GeOx gate stacks in bulk Ge pMOSFET with GeOx grown by ozone oxidation, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2017, 通讯作者 (8) Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFETs, IEEE Transactrions on Elelctron Diveces, 2017, 通讯作者 (9) Crystallization behaviors of ultrathin Al-doped HfO2 amorphous films grown by atomic layer deposition, Chin. Phys. B, 2017, 通讯作者 (10) Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process, Chin. Phys. B, 2017, 通讯作者 (11) Experimental investigation on oxidation kinetics of germanium by ozone, Appl. Surf. Sci., 2016, 通讯作者 (12) Remote interfacial dipole scattering and electron mobility degradation in Ge field-effect transistors with GeOx/Al2O3 gate dielectrics, J. Phys. D: Appl. Phys., 2016, 通讯作者 (13) Dependence of electrostatic potential distribution of Al2O3/Ge structure on Al2O3 thickness, Surf. Sci., 2016, 通讯作者 (14) Investigation on the dominant key to achieve superior Ge surface passivation by GeOx based on the ozone oxidation, Applied Surface Science, 2015, 通讯作者 (15) Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high- k /metal gate last process, Chinese Physics B, 2015, 通讯作者 (16) PBTI的负电荷能量分布提取, Energy distribution extraction of negative charges responsible for positive bias temperature instability, Chinese Physics B, 2015, 通讯作者 (17) 超薄TiN厚度对后栅工艺MOS器件的PBTI特性影响, Influence of ultra-thin TiN thickness on positive bias temperature instability (PBTI) of high k/metal gate nMOSFETs with gate-last process, Chinese Physics B, 2015, 通讯作者 (18) 高k栅介质/金属栅全后栅结构NMOSFET器件的PBTI特性表征, Characterization of positive bias temperature instability of NMOSFET with high-k/metal gate last process, Journal of Semiconductors, 2015, 通讯作者 (19) 通过TiN/TaN堆叠厚度调制高k栅介质/金属栅结构nMOSCAP有效功函数的方法, An effective work-function tuning method of nMOSCAP with high-k/metal gate by TiN/TaN double-layer stack thickness, Journal of Semiconductors, 2014, 第 3 作者 (20) 帽层TiN、TaN厚度的工艺条件对高k栅介质/金属栅结构MOSCAP的有效功函数的影响, The effects of process condition of Top-TiN and TaN thickness on the effective work function of MOSCAP with high-k/metal gate stacks, Journal of Semiconductors, 2014, 第 3 作者 (21) A possible origin of core-level shifts in SiO2/Si stacks, Appl. Phys. Lett., 2013, 通讯作者 (22) Reexamination of band offset transitivity employing oxide heterojunctions, Appl. Phys. Lett., 2013, 通讯作者 (23) Physical understanding of different drain-induced-barrier-lowering variations in high-k/metal gate n-channel metal-oxide-semiconductor-field-effect-transistors induced by charge trapping under normal and reverse channel hot carrier stresses, Appl. Phys. Lett., 2013, 通讯作者 (24) Band alignment of HfO2 on SiO2/Si structure, Appl. Phys. Lett., 2012, 通讯作者 (25) Band alignment of TiN/HfO2 interface of TiN/HfO2/SiO2/Si stack, Appl. Phys. Lett., 2012, 通讯作者 (26) Electric Dipole at High-k/SiO2 Interface and Physical Origin by Dielectric Contact Induced Gap States, Jpn. J. Appl. Phys., 2011, 通讯作者 (27) 高k栅介质/金属栅结构MOS器件中的偶极子物理机制, Physical origin of dipole formation at high-k/SiO2 interface in metal-oxide-semiconductor device with high-k/metal gate structure, Applied Physics Letters, 2010, 通讯作者 (28) MOS器件中高k栅介质/SiO2界面偶极子对平带电压的影响, Comprehensive understanding of the effect of electric dipole at high-k/SiO2 interface on the flatband voltage shift in metal-oxide-semiconductor device, Applied Physics Letters, 2010, 通讯作者 (29) Al扩散诱导的正向平带电压偏移对高k栅介质结构pMOSFET器件的影响, Effect of Al diffusion-induced positive flatband voltage shift on the electrical characteristics of Al-incorporated high-k pMOSFETs, Journal of Applied Physics, 2009, 第 1 作者 (30) 含Al高k栅介质堆栈中平带电压的异常偏移特性研究, Systematic investigation on anomalous positive Vfb shift in Al-incorporated high-k gate stacks, Applied Physics Letters, 2008, 第 1 作者 (31) HfLaON/TaN结构MOS器件的热稳定性研究, Study on characteristics of thermally stable HfLaON gate dielectric with TaN metal gate, Applied Physics Letters, 2008, 第 3 作者 (32) 利用快速热退火辅助MOCVD方法制备HfN金属栅电极研究, Preparation of conductive HfN by post rapid thermal annealing-assisted MOCVD and its application to metal gate electrode, Microelectronics Engineering, 2008, 第 1 作者 (33) TaCx、HfCx/HfO2结构栅堆叠中金属碳化物诱导的负向平带电压偏移研究 , Metal carbide-induced negative flatband voltage shift in TaCx and HfCx/HfO2 gate stacks, Applied Surface Science, 2008, 第 3 作者

学术兼职

2016-12-27-今,集成电路测试仪器与装备产业技术创新联盟, 秘书长 2016-06-11-今,北京电子制造装备行业协会, 副秘书长

推荐链接
down
wechat
bug